Manufacturers
Expertise
Strategic Sourcing & Procurement/Purchasing Strategies Management
Supplier Base & Category Excellence Management
Supply Chain Execution Management
Supply Chain Planning Management
Supply Chain Strategies Management
Service
Outsourcing Service
Advertising Service
Sourcing Service
Procurement Consulting
Procurement Audit
Products
Cooperation
Reference
Company
ABOUT US
CULTURE
CONTACT US
Contact
Menu
Manufacturers
Expertise
Strategic Sourcing & Procurement/Purchasing Strategies Management
Supplier Base & Category Excellence Management
Supply Chain Execution Management
Supply Chain Planning Management
Supply Chain Strategies Management
Service
Outsourcing Service
Advertising Service
Sourcing Service
Procurement Consulting
Procurement Audit
Products
Cooperation
Reference
Company
ABOUT US
CULTURE
CONTACT US
Contact
Pages
html sitemap
Outsourcing Service
Procurement Audit
Procurement Consulting
Sourcing Service
Advertising Service
Export Business
Supply Chain Execution Management
Supply Chain Planning Management
Supply Chain Strategies Management
Supplier Base & Category Excellence Management
Strategic Sourcing & Procurement/Purchasing Strategies Management
Products
Contact
Reference
Cooperation
Service
Expertise
Company
Home
Categories
未分类
Ultra-Precision Parts &Components-two
Ultra-Precision Parts &Components-three
Ultra-Precision Parts &Components-one
Ultra-Precision Parts &Components-four
Ultra-Precision Parts &Components
Ultra Pure Metals & High Performance-two
Ultra Pure Metals & High Performance-three
Ultra Pure Metals & High Performance-one
Ultra Pure Metals & High Performance-four
Ultra Pure Metals & High Performance
Sourcing Service
Procurement Consulting
Procurement Audit
Outsourcing Service
Other Advanced & High Performance Materials-one
Other Advanced & High Performance Materials-four
Other Advanced & High Performance Materials
Manufacturers & Products News
Insights
High Performance Functional Materials-two
High Performance Functional Materials-three
High Performance Functional Materials-one
High Performance Functional Materials
High Performance Composite Materials-two
High Performance Composite Materials-three
High Performance Composite Materials-one
High Performance Composite Materials
Export Business
Company News
Advertising Service
Advanced Non-Metallic Materials-two
Advanced Non-Metallic Materials-three
Advanced Non-Metallic Materials-one
Advanced Non-Metallic Materials-four
Advanced Non-Metallic Materials
News
High hardness titanium alloy supplier Hay:A04SXXB
Silicon carbide reflector and BN(Boron Nitride) Ceramics supplier Hay:B01CDCC
Large and complex thin-walled high-temperature alloy precision castings supplier Hay:T01SHZC
The leading Titanium-matrix metal ceramics supplier Hay D04SCZQ
Toray provides T1100/3960 carbon fiber/epoxy resin prepreg for Overair
Commercialization of Lutetium-177 and 68Ge-68Ga
China realizes commercial production of carbon-14 isotopes
Mitsubishi and Denka Sign J/V Agreement in Fullerene Business
Rhombohedral boron nitride crystal Hay:B06BJDX
High gloss stainless/corrosion-resistant magnesium alloy Hay: A03LXJD
High strength and toughness magnesium lithium-matrix electromagnetic shielding material won an award Hay:F05HLHG
Global leading ultra low expansion microcrystalline glass supplier Hay:B04BJZC
Breakthrough in large-size optical quartz glass technology Hay:B04ZCRG
Meta aramid aerogel fiber Hay:D01YTTH
The carbon fiber 3D weaving technology leader Hay:D02SHYL
The RF superconducting Nb3Sn electron accelerator successfully operated Hay:H07XBCD
Electromagnetic welding equipment realizes aluminum steel welding
Zirconium titanium alloy and high purity sputtering target supplier Hay:A01FJAS
8-inch sapphire substrate GaN HEMTs wafer released Hay:I01GDZN
Collaborating with TOPPA to develop Aluminum plastic composite films Hay:N04SZXL
Solid hydrogen storage materials have been mass-produced Hay:N01ATCM
China Invar alloy foil realized commercial production Hay:A04BJAT
Ultra thin CVD diamond heat dissipation film supplier Hay:F03BJAT
48K carbon fiber used to manufacture the world’s longest onshore wind turbine blades Hay:D01SHSH
Apple adds 8 new Chinese suppliers
Ultra high strength steel for construction machinery Hay:A02HNXG
Carbon/tungsten carbide composite fasteners Hay:F03HNJB
New Metal bipolar plate coating technology reduces coating cost by 80% Hay:N01SHJZ
Bioresorbable rapamycin-eluting coronary scaffold awarded Hay:P02SDHA
Straumann China facility will start production
Current Development Status of UHMWPE Fiber in China
Leading high conductivity copper conductors Supplier Hay:F04JSXH
China’s largest niobium alloy nozzle successfully tested Hay:A04BJLJ
Ultrastrong and High Thermal Insulating Porous High‐Entropy ceramics Hay:B03HNLG
Large size magnesium alloy extruded profiles Supplier Hay:T06ZGZJ
Silicon Nitride/Si3N4 ceramic bearing balls and structural components supplier of SKF and Schaeffler Hay:B01ZCDH
Optical-grade Pancake Display Film Supplier of Samsung Hay:F06SZYT
Global leading Umbilical Vein And Artery Catheter Supplier Hay:P02SDAD
Large-size alloy die-casting manufacturers introduced Carat 610 & Carat 920 megacasting cells from Buhler
PTFE/PI composite film supplier Hay:C04AHZK
Aluminum nitride and silicon carbide ceramic heat sink supplier for high power laser chip Hay:F03SZPB
Global leading forged hollow shaft supplier for steering system of Nexteer and ZF Hay:T03JSCQ
Chinese polyimide films manufacturer acquires IPITECH Hay:C04YXCJ
Global leading motor rotor end rings supplier of Siemens Hay:F04WHXC
Runner Hub Forgings for 500MW Impulse Turbine Hay:T03ZGYZ
Ultra long titanium alloy continuous oil pipe/tube Hay:A04GDFW
Joint development of thermal insulation ceramic tiles and ultra-high temperature thermal barrier coatings Hay:B02ZGLN
Martensitic stainless steel supplier for Turbine blade Hay:A02PGTG
Aluminum Alloy Integral Forging Ring Boost Aerospace Hay:T03HNZC
Wide width iron-chromium-aluminum containing lanthanum sheet Hay:A02TGJT
Titanium Clad Superalloy Supplier Hay:D04AGJT
Nanocrystalline glass and radiation resistant glass supplier Hay:B04HBGB
The TC4 titanium alloy wire supplier Hay:A04PGCT
The cryogenic 5.5Ni steel supplier delivered to German customer Hay:A02HNXG
Boron Nitride NanoBarb Filaments for Additive Manufacturing from BNNano
High voltage and high current copper chromium contacts supplier Hay:D04XASR
The precision copper strip supplier for lead frame Hay:A03GSJC
Optical grade polyester film and polyimide film start production
single crystal diamond substrates realized mass production in China Hay:I01XAJD
The world’s largest primary circuit forged main pipeline for nuclear island Hay:T03GJZZ
The leading Ultra-purity 8N copper and ultra-fine copper wire supplier Hay:A01SCHX
Chinese Membrane Electrode Assembly/MEA for fuel cell sold to the European market Hay:N01WHLG
The semi-solid magnesium alloy injection molding machine startup Hay:T02NBXY
Ultra-thin high-temperature alloy foil realized commercial production Hay:A04PGJT
The leading PDLC liquid crystal dimming film supplier of Saint-Gobain and Webasto Hay:F06SHLS
China Ultra-thin cobalt based high-temperature alloy GH5188 sheet realized mass production Hay:A04PGJT
Chinese 6-inch gallium oxide single crystal substrate realized commercial production Hay:I01HZJR
The leading supplier of Ultra-thin and super thermal-conductive copper heat pipe for 3C products Hay:F03JTLC
Chinese ultra thin nickel based alloy strip steel for semiconductor lead frame achieved commercial Production Hay A02TGJT
Strategic Sourcing & Procurement Outsourcing Service
Sourcing & Procurement Demand Management
Category Excellence Management
Supply Base & Innovation Management
Sourcing & Procurement Value Added Service
Sourcing Strategies Developing Management
NPI: New Project Introduction Management
NPI: Project Management for New Product Introduction
Strategic Sourcing Support Service in China
Supplier On-Site Assessment & Audit
Supplier On-site Assessement & Audit
Strategic Cost & Negotiation Management
The most valuable channel to market your brand
Purchasing Cost Management & Strategic Procurement Negotiation
Sourcing & Procurement Management Audit
Strategic Sourcing & Procurement Consulting
Supplier Selection Decision & Smart Contracting
Advanced Materials & Precision Parts Export Business
Supplier Evaluation & Selection Decision & Smart Contracting
Product & Process Engineering Process
Ultra Precision Machining Components & Parts
Purchasing Order Monitoring & Engineering Change Management
OEM Quality Automotive Components & Parts
Purchasing Order Monitoring & Engineering Change Management
High Performance Functional Materials & Parts
Product Molds & Tooling Management
Product Molds & Tooling Management
Samples Inspection & PPAP Documents Assessment
Supplier Quality Excellence Management
Special Metals & High-performance Alloys
Pre-Shipment Inspection (PSI) Services & Certificates
Supply Chain Risk Management
Advanced Non-Metallic Materials
Supplier Excellence Management & SRM
High Performance Polymer Materials
Supplier Performance Evaluation & Audit
Lean Supply Chain Management
High Performance Composite Materials
Value Added Logistics & Shipping Service
Continental Automotive Systems acquires Chinese automotive fan motor manufacturer Fugang Heli
Schaeffler and Continental jointly hold Excellent Supplier Day event
China Ceramic Zircon Overflow Brick Supplier/Manufacturer Make a breakthrough For LCD Glass Kiln Hay:F03ZBGT
China’s leading titanium alloy manufacturer successfully developed TC4 titanium alloy profiles and parts Hay:A04SXBT
Grupo Antolin Group acquires Magna interior business
Continental and Schaeffler Strategic Cooperation in Sourcing & Procurement
BorgWarner Acquires Remy International
Arconic and Airbus strengthen cooperation in 3D printing technology
China Leading Automatic control valve supplier Hay:U05ZJLN
SAERTEX invests in pipeline fiberglass composite lining project in China
The leading SMD/SMT soft magnetic and Terbene-D (TbDyFe) alloy supplier Hay:F05HNCY
China Precision superalloy casting parts suppplier
China leading Hydraulic Retarder Supplier Hay:Q02SZTEJ
Developed carbon fiber Structural parts for passenger airplane C919
China large tow carbon fiber project started
Toray CFRP surface smoothing technology applied to new concept car sunroof
Plastic component maker Carclo acquires Precision Tool & Die (PTD)
Husky launches upgraded version of Hylectric injection molding machine platform
Evonik Austria Schörfling Membrane Business Expansion Project Commences Production of Gas Separation Membrane Modules
Application of NdFeB permanent magnet motor in Chinese locomotive
Toray introduces PICASUS a resin film with metallic luster
Hitachi Metals plans to launch rare earth and ferrite permanent magnet IoT in 2018
Clariant strengthens cooperation with Shin Kong to expand application areas of halogen-free flame retardants
Continental tires want to take over Siemens’ shareholding
BASF and Hyundai unveil RN30 concept car at K show in Germany
Dow Chemical K2016 Showcases Future Investment Highlights Innovation Advantages
LANXESS launches halogen-free and environmentally friendly PBT compounds
3devo desktop industrial extruder helps cut costs
Ultra-strong metallic glass stronger than steel planned to start production in 2021
Sekisui Chemical Introduced new industrial dual-purpose tape:Super impact resistant Narrow Bezel tape
LPW Technology Invests 20 million pounds to expand 3D printed metal powder business
China Leading Manufacturer and Supplier for High-performance titanium alloy and wrought magnesium alloy products
Precision Castparts acquires metal precision 3D printing company Atlantic Precision
Solvay Performance Polyamides builds new Technyl polyamide plant in Mexico
Broadcom will be acquired by Brocade,Semiconductor consolidation boom continues
China Aluminum supplier successfully casts 7A85 high-strength aluminum alloy for aviation industry Hay:A03GXNN
WACKER enables silicone-scale 3D printing
Dow Chemical cooperate with COSCO Kansai on BioPruf
Clariant launches new product, high-efficiency styrene catalyst specially developed for ultra-low water-oil ratio conditions
Canadian I.C.T.C. Holding Group announces acquisition of Clariant’s global color system business
Australian packaging giant Amcor unveils its Apex hot-filled PET container and packaging solution with metal lugs
Analysis of the world’s top automotive coatings market
Nippon China plans to invest in a second coating production base in Chengdu
Chinese company Jian Rui take stake in Australian mining company to solve the problem of lithium battery raw materials
American polymer materials producer PolyOne carbon fiber composites help Chevrolet Corvette C7 new journey
BASF plans to expand UV absorber and MDI capacity
Covestro, the world’s leading manufacturer of high-tech polymer materials, leads cross-border innovation
PolyOne launches SynPlast L9TM, an alternative to soft PVC plasticizers, to ensure stable supply of soft PVC
Arkema completes acquisition of Den Braven to support long-term development goals of Bostik
Dow Corning and IBM Collaborate on Thermally Conductive TC-3040 Thermal Gel Wins 2016 R & D100 Award
BASF’s polyurethane spray foam technology Elastoflex creates a comfortable driving environment
Toray’s latest special fiber Polyester, acrylic, nylon special fiber and yarn fabric derivative products
Exclusive interview with Solvay Engineering Plastics General Manager Peter Browning: China has become the largest market for engineering plastics
Clariant, the world’s leading specialty chemicals manufacturer, announces ColorForward® 2018 Color Trend Guide
China Leading Manufacturer and Supplier of two-component road marking paint for traffic and roads
The leading aluminum-lithium alloy supplier Hay:A03JSHR
China leading supplier of superalloy and titanium alloy ring forgings Hay:T03GZHY
China leading automotive body stampings supplier Hay:Q03XYGR
Dow Corning Introduces Three New High-Reflection Silicone Coatings, Helping Flexible LED Package Design
Evonik introduces new PEEK wear-resistant coatings VESTAKEEP
GE Additives Increases R & D and Investment in Concept Laser
Teijin completes the acquisition of Continental Structural Plastics (CSP)
Heraeus acquires all shares of Swiss precious metal processor Anger-Heraeus to become the world’s largest precious metals company
China’s first rare earth metal intermediate alloy technology innovation strategic alliance for new materials officially launched
LANXESS’ Tepex continuous fiber reinforced thermoplastic composite for lightweight automotive engineering
Cree introduces NX technology platform for next-generation lighting-grade LEDs
Samsung plans to acquire Taiwan’s micro-LED screen maker PlayNitride for $ 150 million
Chinese polarizer manufacturer SLP IPO which applied in liquid crystal panels
Japan’s Sumitomo Chemical PMMA acrylic resin prices increase from June 1
DuPont Performance Materials invests in new processing equipment SOFFIAGIO TECNICA in Asia Pacific
Huntsman and Clariant may merge, new company has a market value of $ 20 billion
Sigmatex cooperates with Chinese carbon fiber engineering company Hay:D02JSHR
Chinese leading PI film supplier builds a new production base for colorless polyimide/CPI film Hay:C04WHYM
Chinese Nano-SiC reinforced aluminum-matrix composite piston manufacturer released new products Hay:D04SHJT
3D printing software design company Fisher Unitech collaborates with 3D metal additive manufacturing company Desktop Metal
LyondellBasell Industries to build the world’s largest PO/TBA plant in the Netherlands
Saint-Gobain PTFE turning film CHEMFILM® T500
Germany’s Evonik Silica Project Settles in Qingdao New District, China
WACKER unveils silicone rubber for the manufacture of overmolded medical products at Medtec China 2017
Chinese supplier for Precision Powder Injection Molding of Metal and Ceramic Parts IPO Hay:T05CZJY
Chinese company and Dow Corning form joint venture to produce Corning® Willow® Glass ultra-thin glass composite laminates for interior decoration applications
Solvay’s polyvinylidene fluoride (PVDF) polymers China Plant put into production, reshaping global production pattern
Chinese leading ceramic powder and aviation-grade titanium alloy powder supplier cooperate with Lithoz Hay:H01ZHMT
WACKER presents thermostatless liquid silicone rubber for medical technology
TPI Composites Inc,The America’s largest manufacturer of composite wind blades, expands strategic partnership with Proterra to manufacture composite bodywork
Man-made sun program pushes China’s materials science, superconducting technology and other fields to lead
Global Leading Manufacturer and Supplier for Automotive Generator Stator Cores
Victrex invests tens of millions of pounds to build world-class “polymer/PAEK/PEEK innovation center”
Chinese nickel-chromium-titanium alloy steel manufacturer successfully developed samarium alloy Hay:A02AGJT
BASF and TODA KOGYO CORP strengthen cooperation and investment in the field of cathode materials
China Precision titanium alloy powder injection molding products manufacturer and supplier Hay:T05CSYY
The 2000 MPa grade ultra-high strength and toughness hot stamping forming steel rolled off the production line Hay:A02LNBG
Carbon fiber reinforced silicon carbide/SiC composites supplier Hay:D05ZKNB
China leading ceramic filter membrane supplier Hay:F07JSJW
Chinese leading Optical diffusion film supplier Hay:C04NBJZ
China Leading PCB ink and other photosensitive chemicals Manufacturer and Supplier
China textile machinery polyurethane rubber roller leader reaches strategic cooperation with textile machinery
Celanese to acquire Nilit Plastics’ nylon blend modification business
2017 Top 30 Lithium-ion Battery Manufacturers in China in Sales Revenue:CATL and BYD ranked among the top two
Global Leading specialty chemicals company LANXESS expands production capacity of Macrolex Dyes in Leverkusen
The new nano-magnesia production line put into production Hay:B08QHZH
Dow launches new brand TAPTEC and next-generation reverse osmosis membrane FILMTEC products for the domestic drinking water market
Hexion partners with Chinese carbon fiber composite manufacturer to develop large-scale carbon fiber production technology
Rogers introduces unique PORON® Embrace foot cushioning technology
China’s mass production of graphene Organic Photovoltaics OPV production line put into operation Hay:H02SDHL
Korea’s Nisshin and BASF jointly launch the world’s first steel-plastic hybrid suspension arm
Huntsman will promote KRYSTALGRAN® and KRYSTALFLEX®, two TPU technologies for the production of high-performance films and sheets.
China Enfi designs the world’s largest double bottom-blown continuous copper smelting project
Solvay will launch innovative solutions in areas such as alternative energy and additive manufacturing
Solvay Specialty Polymers Takes Additive Manufacturing to a New Level
DuPont Security & Construction Announces Major Investments in Kevlar® and Nomex® Businesses
Ultra-thin display glass manufacturer developed UTG Hay:B04BBZC
Enapter showcases its anion exchange membrane (AEM) electrolyzer at Hannover Messe 2018
China Leading Superalloy and Shape Memory Supplier Hay:F05BJBY
4M carbon fiber will be a pioneer in low-cost carbon fiber composite technology
DSM builds conductive backplanes for back-contact components, increasing component efficiency by 3% to achieve zero losses
Dow’s New DOWSIL EA 3500G Fast-Cure Adhesive Provides Unique, Fast, and Efficient Bonding of LED Lighting and Other Components
Global leading copper beryllium alloys supplier Hay:A03USMA
Eastman tire additive insoluble sulfur product Crystex Cure Pro
BASF raises paint prices, vanadate pigments and vanadate-based chemicals will increase by 15%
Chinese Metal Injection Molding manufacturer expand new application Hay:T05SHFC
Reign Medical selects Solvay’s high-strength Ixef® polyaramid (PARA) to develop stronger compression bone nail system for orthopedics and foot surgery
The leading heat-shrinkable braided sleeve supplier Hay:U07SZJD
China’s carbon fiber composite material manufacturer’s solid rocket motor carbon fiber winding shell project passes aerospace rocket engine application verification
Chinese optical adhesive manufacturer FINESET brand OCA optical adhesive is fully introduced to major international customers
ST Southeast’s 1.85 billion acquisition of boron-magnesium ore, boric acid, boron-magnesium compound fertilizer, boron carbide powder, boron oxide, boron iron and boron carbide special ceramics manufacturer Jinma Boron
Lockheed Martin Space Systems increases investment in lightweight materials and 3D printing
German männer, a global expert in injection molding hot runner technology, launched the first “packaging nozzle” for the Asian market
Global special alumina supplier Almatis India plate corundum project is about to start production
China’s first gallium arsenide microwave integrated circuit (GaAsMMIC) chip production line put into production in early 2019
Velox Ecozen HFECOZEN HF new high-performance biocopolyester for food and aerosol packaging
Cygnet Texkimp launches world’s first high-speed 3D winding machine for carbon fiber winding into lightweight components
German Leading laser manufacturer TRUMPF acquires all shares of Teufel Solutions
Cree`s Wolfspeed launches 900-volt SiC MOSFETs for applications such as automotive power conversion systems
Covestro has successfully sold its polycarbonate sheet business in Sheffield (USA) to Plaskolite
Cytosurge AG, Switzerland, entered the Chinese market with the fluid nanometer 3D printer FluidFM μ3Dprinter, which can print nanometer and micrometer 3D metal and polymer structures.
U.S. nano-plating company Xtalic can extend the life of electric vehicle battery charger connector by 40 times and enter the electric vehicle market with new materials
Seventh Generation launches 100% recycled plastic bottle caps
HP multi-jet fusion 3D printing technology helps orthotics insole manufacturer iOrthotics triple production
30% lighter than cast iron Roewe MARVEL X will use aluminum alloy suspension
U.S. materials company FibreTuff announces opening of new plant to meet market demand for medically developed PAPC 3D printing wire
China’s polyacrylonitrile (PAN) -based large tow precursor and 48K large tow carbon fiber technology and process package have made major breakthroughs
Fullhan Micro released FH8310, the first car-grade ISP chip
Solvay, German aircraft parts manufacturer Premium AEROTEC, and Faurecia Clean Mobility announced on August 2 the formation of the IRG CosiMo (Sustainable Fluid Composites) Alliance
Special ultra-thin electronic glass manufacturer ‘s 0.2mm ultra-thin China aluminum electronic glass ranks among the top in the world Hay:B04YCNB
lubrizol launches Solsperse W200, a hyperdispersant for waterborne coatings
Siemens makes breakthrough in 3D printing of gas turbine low-pollution combustion chambers
Chinese lithium-ion battery anode material manufacturer invests 260 million yuan in lithium battery wet-process separator Hay:N02SHPT
LyondellBasell Industries and Covestro’s Dutch POSM factory encounters force majeure
United States AOC and Switzerland Aliancys merge to form a global composites group, forming a global supplier of polyester and vinyl ester resins, gel coatings and other materials used in the composites industry
China Top Manufacturer and Supplier of Ultra-micro intelligent electromechanical drive module: micro precision reducer, micro motor, intelligent controller Hay:U02GDJL
General Plastics Launches High-Temperature, Low CTE LAST-A-FOAM® FR-4800 Tooling Board
ZARE installs Italy’s first ProX SLS 6100 3D printer
Panasonic to add three lithium-ion battery production lines at Gigafactory
Hutchinson’s newly developed thermoplastic sealing strips favored by many car manufacturers
Semi-solid die-casting technology opens “green channel” for automotive lightweight
The pure electric bus with a 12-meter magnesium alloy new material body frame was successfully rolled off the production line
Chinese photovoltaic company and MIT sign strategic alliance for industrial alliance
Magna Steyr’s North American plant is still open for discussion
Technology provider Kellogg Brown Road (KBR) licenses Pingmei Shenma Group’s polycarbonate technology
A total investment of over 1.2 billion “Metal 3D Printing Collaborative Innovation Project” signed a contract with Suzhou High-tech Zone
LG Chem signs long-term supply contract with Chinese power battery material manufacturer, will purchase 47600 tons of lithium battery cathode material high nickel ternary material lithium hydroxide in 4 years
China graphene lithium-ion battery project officially signed
PPG launches new generation electrophoretic coating product PPG ENVIRO-PRIME 8000 for Chinese market
Aurora Group joins hands with two international brands, Nano Dimension & Mcor, to reach a strategic cooperation to explore the Chinese market
Microtraumatic interventional medical device leader Boston Scientific develops in China
China silver ion new material project starts construction
Chinese Silicone Material Manufacturer and Evonik Joint Venture to Build 8000 Tons of Fumed Silica Project
China’s first 3D printing and machining center for dental precision parts will be built
China Glass Fiber Manufacturer Invested Glass Fiber Pool Kiln Wire Drawing Production Line Hay:D01JSJT
Chinese special fiber manufacturer invests in new fiberglass fabric project with an annual output of 15,000 tons Hay:D01ZJWT
COIM Announces Capacity Increase for Cast Polyurethane Prepolymers in Brazil
Voxeljet develops VJET X-IOB production line, 3D printing speed increased by 10 times
Robert Bosch collaborates with UK-based Ceres Power to develop next-generation solid oxide fuel cell technology
World’s largest polymer maker LyondellBasell acquires Schulman, supplier of oxyfuels, high-performance plastic blends and resins, for $ 2.25 billion
Renishaw metal 3D printed conformal cooling injection molds increase injection machine efficiency by 40%
Application and Industrialization Trend of Nano Magnetic Materials
Solvay’s MAX HT® Scale Inhibitor Helps Energy Saving and Emission Reduction in Alumina Industry
OSSEUS 3D printed spinal implant Aries receives FDA approval
Silicon Valley Sila Nanotechnology Corporation Announces Commercialization of New Silicon Lithium Batteries, Capacity Increases by 40%
One of the world’s largest manufacturers of custom masterbatches:Americhem Suzhou Plant Adds Soft Polymer (TPE / TPU) Extrusion Line
BASF’s innovative polyurethane technology Elastoflex® E back foam can be used to make lightweight, slim dashboards
MACOM Technology Solutions Inc to showcase industry-leading optical and semiconductor components at CIOE 2018
Londa Electronics announces miniaturized micro LED die size as small as 20μm
Fisker will mass-produce solid-state lithium batteries for use in the electric sports car Fisker EMotion
New progress in safety research of CLAM welded structures in China
The world’s most advanced paint innovation center of internationally renowned paint supplier PPG settles in Tianjin Development Zone
The leading high-alumina-silicon glass supplier for touch screen electronics Hay:B04CQXJ
Breakthrough in the production of third-generation semiconductor materials represented by gallium nitride (GaN) and silicon carbide (SiC)
Mitsui Chemicals boosts UHMW polyethylene capacity to meet battery separator demand
New Stainless Steel Rotary Latch from Southco Delivers Increased Corrosion Resistance
New progress in magnetic field-induced phase transition of iridium-based double perovskite La2ZnIrO6
Mitsui Chemicals increases productivity of HI-ZEX MILLION UHMWPE
Styrene production giant INEOS Styrolution acquires polystyrene production base in China
Chinese companies successfully use carbon nanotube modified aluminum alloy technology for Aluminum alloy lightning arrester Hay:A03WHNR
Chinese methylcyclopentadiene tricarbonyl manganese (MMT) manufacturer invests in Methanol to Olefins MTO catalyst, silicon carbon anode material project
China’s high-power semiconductor laser chip, high-speed optical communication semiconductor laser chip, and high-efficiency semiconductor lidar 3D sensor chip manufacturer build the first complete 3D sensor core chip production line in China
Japanese company develops fuel cell electrolyte membrane with five times higher durability
Basalt fiber yarn and basalt fiber casing supplier Hay:D01ZZDD
Mass production of carbon fiber composite bicycle rims/wheels in China
Evonik will focus its chemical business on specialty chemicals
China Leading Manufacturer and Supplier of nano inorganic modified biaxially stretched film, nano modified plastic, nano metal oxide powder Hay:H02SHNL
Clariant showcases desiccant solutions for moisture resistance in furniture transportation at China Furniture High-end Manufacturing Exhibition 2018
Large-scale 3D printing of titanium alloy complex parts successfully trial-produced
Eight advantages of fiber optic sensors and six characteristics of distributed fiber optic sensors
Covestro has designed an innovative front module deploys polycarbonate in jointless, glass-like surfaces, the front of the future car will adopt three-dimensional, seamless and glass-like surfaces, and the traditional radiator grille will be eliminated
Japanese automotive parts giant Denso Corporation will acquire a subsidiary of Pioneer Corporation and Tohoku Pioneer EG
SABIC to complete equity acquisition of Clariant, a global leader in specialty chemicals
Micromeritics Instruments and Malvern Panalytical have announced a partnership today
Japan’s Toray invests 20 billion yen to increase U.S. subsidiary Zoltek’s 20% carbon fiber production capacity
Sanyo Electric develops the industry’s smallest pixel size CCD image sensor at the megapixel level
Leading global styrene supplier Swiss INEOS Group plans to acquire two production sites in China
US solid-state battery maker Solid Power raises $ 20 million in Series A funding
Evonik launches new P84® Premium polyimide fiber products
University of Manchester establishes graphene business company Grafine, dedicated to graphene-modified rubber elastomers
The leading high temperature resistant stainless steel pipe supplier Hay:A05ZXNY
DSM partners with FormFutura to distribute its 3D printed materials worldwide
Taiwan vertical cavity surface emitting laser (VCSEL) epitaxy and chip maker HLJ Technology orders multiple Aixtron devices to expand 6-inch VCSEL capacity
Globally advanced metal powder manufacturer hoganas AB’s adhesive-jet metal 3D printing technology has begun to be used in the automotive and aerospace industries
Covestro: Material supplier should become customer’s innovation partner
Italian 3D printer maker WASP launches new CraneWASP Infinity 3D Printer
Global polyolefins Leader Borealis successfully acquires Austrian plastic recycler Ecoplast Kunststoffrecycling GmbH
Johnson & Johnson acquires German 3D printed spinal implant manufacturer Emerging Implant Technologies EIT
Volkswagen Group plans to invest 6 billion euros in 27 new models, LG Chem / Samsung / CATL shortlisted as power battery supplier
Teijin to build a new glass fiber reinforced plastic (GFRP) plant for automotive parts in China
German medical device company endocon GmbH 3D prints acetabular cup removal tool with the help of GE’s Additive Concept direct metal laser melting (DMLM) technology, reducing costs by 40%
Large-caliber N08367 super austenitic stainless steel seamless pipe successfully developed Hay:A02YXTG
Tokyo Institute of Technology develops nanomaterials with ultra-high symmetry that can be used in next-generation electronic devices
Lithium-ion battery technology company Magnis develops lithium-ion battery for Singapore’s electric supercar maker Dendrobium
The difference between modified plastics and non-modified plastics
Heilongjiang established Graphene Research Institute and Graphite New Materials R & D Center
Siemens Railway Service Center installs Stratasys 3D printer, shortens part manufacturing time by 95%
BASF launches lightweight polyurethane Boldur poles for telecom operators and power producers
Carbon fiber body will become history? BAC, Haydale and Pentaxia jointly advance mass production of graphene bodies
Wanfeng Aowei India builds 3 million sets of aluminum alloy wheels project
Siemens-Alstom merger still pending European Commission approval, new company’s future development strategy is gradually clear
European Composites Exhibition 2018 to showcase a variety of new composite joining technologies
Japanese Ulvac 10.5 generation mask version project starts in Hefei
Krauss Maffei Group, a German rubber and plastics machinery manufacturing company acquired by China Chemical Group, said it will list on the Shanghai Stock Exchange this year
IDC report states that smart watch shipments will account for half of wearables by 2022
The leading metal clad composite material supplier Hay:F05BJBY
China rare earth permanent magnet NdFeB supplier Hay:A06SQXL
BASF and LetterOne Group plan to merge their oil and gas businesses
China’s all-composite five-seater aircraft makes its first flight and weighs only 900 kg
CHASM, a developer and manufacturer of new materials for electronics and battery applications, has completed a $ 11 million Series A financing led by Boston private equity firm WAVE Equity Partners
Liberty Powder Metals: 3D metal-printed specialty alloy powders for automotive parts have huge market potential
South Korea’s SK Innovation will build a new lithium-ion battery separator (LiBS) and ceramic coated separator (CCS) production plant in Changzhou, Jiangsu.
Clariant merges part of its masterbatches and additives business with some plastic material product lines owned by SABIC
Breakthrough in key technologies of liquid metal coolant for China’s advanced nuclear energy system 1500K ultra-high temperature stable operation for 1000 hours
Magnis Resources Limited announces that its partner C4V (Charge CCCV) has produced prototypes of solid-state batteries
China manufacturer of Intelligent controller, DC brushless motor, hollow cup motor and driver, power lithium battery, energy storage lithium battery
3D CERAM launches industry’s first 3MIX-Si3N4 silicon nitride ceramic printing material
Covestro Introduces New Polyether Carbonate Polyol, Cardyon ™, the First TPU Product Produced Using Carbon Dioxide Technology
Apple takes over Dialog Semiconductor battery technology and personnel, strengthens self-developed power management chip
3D printer maker Ultimaker joins DSM and Owens Corning Global Materials Alliance brings fully optimized industrial material configuration to 3D printed fused filament fabrication (FFF)
New Li-Mn / graphene anode constructed by nanosheet array, significantly improving lithium metal battery stability
BMW collaborates with Northvolt and Umicore to create second life for electric vehicle batteries
Easelink and igus collaborate on electric inductive charging technology and 3D printed gears
Qualcomm launches new 60GHz WiFi chip, wireless VR sees new hope
Japan’s Murata plans to expand the production capacity of MLCC raw materials for passive components
Blackloud headphones: FM radio for iPhone
LPKF launches next-generation laser plastic welding system InlineWeld 6600 at FAKUMA 2018
Exxon Mobil Corp plans to invest $ 7 billion in new ethylene plant in China
Clariant and Ineos sign supply contract to help propane dehydrogenation unit (PDH) supply catalyst and heating material projects
Covestro: First Polyurethane Wind Turbine Blade Successfully Installed
Highways England installs LED smart road studs
Materialise Vice President: Three stages of 3D printing development
Picosun Group applies atomic layer deposition (ALD) thin film coating technology for the first time in the medical device coating field PicoMeDICAL product line
Fanuc debuts new injection molding machine at FAKUMA 2018
Nanojet and ceramic 3D printing solution provider XJet invests $ 10 million to open manufacturing center in Israel
UMaine Composites Center receives $ 500,000 award for 3D printing of large vessels
Toshiba to build battery plant in Yokohama to boost production of SCiB lithium-ion batteries
Evonik launches Dispers 687 wetting and dispersing additive for matting powders in solvent-borne coatings
Fraunhofer ISE Report: On average, the optical attenuation of single crystal PERC modules exceeds that of polycrystalline PERC modules by 1.7%
Solvay High-Performance Polyamides announces that leading cleaning technology manufacturer Karcher will use Technyl® 4earth® high-quality recycled polyamide to become the material of choice for Karcher forming high-pressure cleaner spray guns.
The world’s first UHV pilot demonstration project using ACCC carbon fiber composite core wire runs through Hay:F04JSYD
U.S. Department of Energy’s AMES laboratory develops new method for measuring the superconductivity and magnetic properties of magnetic materials
The big stage of the lithium battery industry: anode materials
SABIC launches new ultra-high melt flow polypropylene material that can be used in the production of lightweight breathable nonwovens
Toray Industries and Sumitomo Chemical jointly launch ultralight electric concept car with composite body
Apple patents triangle-inlaid 3D printing method
Carpenter, special alloy and metal powder manufacturer Acquires 3D printed metal powder maker LPW for $ 81 million
German composite manufacturer Kraiburg TPE produces high-performance thermoplastic elastomer blends (THE)
Protolabs, a global 3D printing service provider, has a record financial performance in the third quarter of 2018
Corning wins EU “Horizon 2020” Clean Air Material Award
Chinese lithium battery cathode materials precursors, cobalt chemicals and copper-nickel metal manufacturers plan to invest in Indonesia to develop Indonesian nickel resources
Leonardo partners with Chinese carbon fiber composite manufacturer to develop long-range aircraft composites for developers
Chinese energy storage materials and lithium battery manufacturers cooperate with South Korean MBI to develop new lithium battery technology
China Tower: The total number of base station batteries exceeds 40GWh, which can digest 10 million electric vehicle retired batteries
German Peri Group acquires stake in Danish construction 3D printing company COBOD
Ramaco Carbon to establish advanced carbon materials research center
Scientists use ceramic metal composite sheet to reduce solar power costs
SCHOTT Group plans to expand its global pharmaceutical FIOLAX® neutral borosilicate glass tube production capacity in China
China has developed a highly foldable metal film and a foldable lithium-ion battery
China’s independently developed radiation-resistant acoustic emission sensor passed acceptance
German scientists develop new technology for connecting carbon fiber composites with aluminum lightweight materials
Australian Mines is collaborating with Amrita Centre for Research and Development ’s Centre of Excellence in Advanced Materials and Green Technologies to study ytterbium magnesium alloys for next-generation nickel-metal hydride batteries and hydrogen stor
Application of carbon fiber composite material in the field of rail car body manufacturing
Manufacturers of mechanical and environmental testing equipment, comprehensive environmental testing equipment, climate and environmental testing equipment, analytical testing system
Covestro participates in founding automotive lightweight non-metallic materials industry alliance
China’s first copper indium gallium selenium demonstration building puts into operation
Dutch technology company (RGS) launches E-magy nanoporous silicon to replace battery carbon materials, increasing lithium-ion battery capacity by 50%
Graphite producer Syrah signs 20,000-ton graphite supply contract with Chinese PV module maker
DuPont is investing more than $ 80 million in a new plant in China to produce high-end composite engineering plastics and adhesives
PolyOne’s professional vibration damping Versaflex ™ VDT thermoplastic elastomer material (TPE) material can reduce electrical vibration by 80%
US PostProcess company focuses on additive manufacturing post-processing technology, business continues to expand
Chinese automaker signs a contract with flying car maker AeroMobil, both parties announce cooperation on multifunctional flying car project
IACMI is advancing closed-loop applications of automotive carbon fiber prepreg production waste
China’s several power lithium battery and material manufacturers jointly fund the construction of lithium battery materials projects
Evonik develops world’s first implantable PEEK wire for 3D printing
BigRep unveils next-generation MXT technology industrial printer at Formnext in Germany
DuPont officially launches carbon fiber reinforced 3D printed filaments at Formnext 2018 in Frankfurt, Germany
HP metal 3D printer is coming, using jet technology
Johnson Controls: officially withdraws from auto parts industry after Honeywell
Solvay showcases high-performance medical material Ixef® polyaramid (PARA) at COA 2018 academic conference
Factors affecting the heat transfer performance of ceramic materials
Chinese Navy Equipment Corrosion Control and New Material Development Forum
China’s new energy company 3.2GW high-efficiency crystalline silicon battery project officially put into operation
Dow Chemical exhibits three new grades of EPDM products: Nordel 3765 XFL EPDM, Nordel 4571 XFM EPDM, Nordel 4771 XFL EPDM
Hexcel invests in new yarn and carbon fiber production line in France
Chinese battery material, cell, module, battery system, BMS energy storage system manufacturer and lithium battery material manufacturer jointly build a 25,000-ton lithium hydroxide project
Carbon nanotube smart skins developed by the United States
3D Systems unveils new metal 3D printer and aluminum alloy powder at Formnext 2018
Lithium-ion battery anode material manufacturer invests 30 million yuan to expand graphitization processing capacity Hay:N01HNZK
Formnext 2018: Dialogue with Keith Cox, Senior Manager of Additive Manufacturing for Basic Chemicals, Saudi Arabia
The leading High-purity molybdenum trioxide supplier Hay:A01JDMY
Ineos to acquire global holding company Ashland’s entire composites business for $ 1.1 billion
Johns Manville Launches Microlite® FSK Wrapped Glass Fiber Pipe with Thermal Resistance Grade R-12
China develops aluminum alloy resistant to 300 ℃ high temperature creep
TRUMPF launches green laser copper and precious metal 3D printing on Formnext
Sinterit launches a flexible TPU powder for 3D printing
Thermoplastic resin distributor M. Holland incorporates BASF’s 3D printing solution
BigRep, a major German 3D printer manufacturer, and Bosch Rexroth have jointly developed two 3D printers using the new proprietary metering extrusion technology (MXT technology)-BigRep Pro and BigRepEdge.
LG Innotek develops the world’s thinnest LED lighting module
China’s smart “cadmium telluride power generation glass”-cadmium telluride thin film solar cell has made a major technological breakthrough Hay:B04CDZC
US $ 300 million salt lake lithium project, steel giant Posco enters new energy market
CEAD launches industrial continuous fiber CFAM Prime 3D printer
4M carbon fiber joins Composite Materials Application Group (CAG)
Carbon Performance 3D prints high-performance suspension for Lotus sports car
Chinese NdFeB supplier expand capacity Hay:A06ZJXS
New breakthrough for touchscreen cover glass SCHOTT Xensation® 3D glass upgrade is coming soon
Canon develops high-resolution 3D printer for alumina-based ceramic materials
Clariant Increases EHigh-purity ethylene oxide (HPEO) Plant Capacity in Genddorf, Germany
Application of Graphene / Graphene Oxide (G / GO) in Biomedical Field
University of Manchester invents graphene-reinforced natural jute fiber composites or replaces synthetic materials in the automotive industry and low-cost housing
BlackRock predicts strong global battery demand over the next 10 years
Spray Polyurethane Foam Alliance updates ISO compliance standards for spray foam insulation and roofs
Swedish 3D bioprinting company CELLINK acquires Dispendix for € 5 million
Chinese enterprises develop precision laser drilling and cutting equipment for ultra-thin glass and other fields
CRRC Magnesium Aluminum Titanium Lightweight Project Settled in Chongzhou, Sichuan
Nano-TiO2 film enables glass to “self-clean”
German High Precision Additive Manufacturing System Manufacturer Nanoscribe Launches New Photonic GT2 3D Printer
Developer of silicon graphene materials for lithium ion batteries, SiNode Systems and specialty chemical manufacturer JNC, are committed to promoting the commercialization of graphene-silicon lithium ion batteries and advanced materials
China’s natural rubber manufacturer joins hands with Aerospace Materials Research Institute to build China’s first graphene / natural rubber composite production line
Boeing signs agreement with ELG to recycle aviation composite waste or achieve zero landfill
ASML is developing the next generation EUV lithography machine ASML 5000
10 times higher energy density than lithium-ion batteries? Honda Research Institute and others collaborate to develop fluorine ion batteries
Nanoporous silica aerogel rock wool composite insulation board-some outstanding technical achievements in China’s advanced materials field
Kolbenschmidt Automotive China Aluminium Technology:Clean diesel engine low friction cylinder crankcase
The ultra thin 0.05mm copper plate and strip supplier successfully put into production Hay:A03ZLHZ
KRAIBURG TPE Thermoplastic® K Helps Askoll Innovate Electric Performance of Electric Bicycles and Scooters
China’s special ultra-thin glass maker’s thin touch glass wins China Industrial Oscar award
Perstorp sells caprolactone business to Ingevity for € 590 million
Touchscreen film maker Canatu seeks to intelligentize the entire surface of cars with patented carbon nano bud material
The four interiors of the train are manufactured using 3D printing. Stratasys selects rail-certified PEEK materials.
Compounding Solutions LLC distributes Evonik VESTAMID® Care ML polyamide 12, VESTAMID® Care ME polyether block amide (PEBA), TROGAMID® Care clear polyamide, and VESTAKEEP® Care PEEK medical grade polymers
DuPont enhances polymerization capability of German high-temperature nylon Zytel HTN PPA
Polymer chemicals company Nouryon launches new Bermocoll® EBM 3000 cellulose ether
TPK Holdings silver nanowire touch panel wins LG order
Asia’s first offshore wind turbine carbon fiber blade plant breaks ground
Solutions for automotive polyurethane foam materials from BASF, Covestro, DowDuPont, Wanhua Chemical
Covestro’s Maezio Continuous Fiber Reinforced Thermoplastic (CFRTP) Application
Additive manufacturing market industry analysis company SmarTech Publishing: 2018 global additive manufacturing market valued at $ 9.3 billion, a growth rate of 18%
STMicroelectronics Introduces High-Efficiency Super-Junction MOSFETs Targeting Energy-Saving Power Conversion Topologies
New energy for high-purity polysilicon manufacturers in the solar photovoltaic industry: Total polysilicon capacity has been expanded to 30,000 tons
5G high-frequency high-speed copper clad laminate project begins Hay:I04JSND
Kidman Resources Ltd plans to sell half of lithium hydroxide capacity to LG Chem
Ford expands cooperation with Michigan State University, focusing on sensors, lightweight materials
China builds key platform for collaborative innovation of new materials such as carbon fiber and its composite materials, superalloys, graphene, aerospace aluminum, and rare earth permanent magnet materials
Top 20 new materials with high potential in 2019: high-strength biomaterials
20 new materials with super potential in 2019: materials that make wrinkles disappear
Ultra-thin platinum for hydrogen fuel cells: Top 20 new materials with super potential in 2019
China’s first fully composite multi-purpose drone makes its first flight
Solvay introduces improved version of Technyl One halogen-free flame retardant polyamide
Materials Horizons: Southeast University prepares liquid metal polymer gel functional materials
Bugatti showcases 3D printed titanium brake calipers to improve lightweight and durability
New graphene-based terahertz detector for medical research and space exploration
Magna Semiconductor offers third generation 180nm bipolar-complementary metal oxide semiconductor-double diffusion metal oxide semiconductor (BCD) process technology
Chinese titanium dioxide manufacturer plans to invest 2 billion yuan to expand titanium dioxide production Hay:B08HNLM
Hyosung Advanced Materials Corp.’s carbon fiber business unit has developed a new type of high-strength medium-mode carbon fiber suitable for next-generation aviation primary and secondary structural components
Chinese carbon black, high-performance polypropylene manufacturer invests 20.3 billion yuan in new materials and hydrogen energy comprehensive utilization project
B. Braun Sharing Expertise, one of the world’s largest suppliers of professional medical equipment, pharmaceutical products and surgical medical equipment in China
Technology status and prospects of silicon-based anode materials for lithium-ion batteries
The aviation engine compressor blade supplier of Leap Hay:T03WXHY
Fiber-Reinforced Polymer Composites Pipelines Supplier Hay:D02GDBT
German Space Center and 3D Systems design liquid rocket engine injector
The copper/aluminum tube manufacturer new project put into production Hay:A03HNJL
China’s inorganic nano-zirconium phosphate antibacterial powder materials: antibacterial powder, antibacterial solution, antibacterial masterbatch, antibacterial yarn manufacturer won 200 million yuan in B round financing
Toyota plans to build the world’s first megawatt carbonate fuel cell power plant
Global chemical and specialty materials company Celanese Corporation announces completion of acquisition of Next Polymers Ltd., one of India’s largest producers of engineering thermoplastics (ETP)
The ultra-purity rare metals supplier invested a new project Hay:A06BJYY
Hose clamps and stainless steel no-hub couplings supplier Hay:U07TJKN
EU E-MAGIC project receives 6.5 million euros to develop magnesium-based batteries for electric vehicles
Sennheiser 3D audio speaker AMBEO Soundbar officially debuts at CES2019
Nano glass and its characteristics
Ultra-thin hot-rolled steel coils using Arvedi Endless strip production technology Hay:A02RZGT
Chinese R & D team developed bendable and stretchable high-toughness concrete won the second prize of National Technology Invention Award
China bimetal band saw blade manufacturer develop high performance steel Hay:T10HNTJ
Betatype metal 3D printing doubles productivity of orthopedic implants
Dell Display with Corning Iris ™ Glass Light Guide as Key Component Wins CES Awards
Cree and STMicroelectronics sign agreement to supply US $ 250 million of advanced 150mm silicon carbide die and epitaxial wafers
China’s titanium metal powder industrialization project won the silver prize in the entrepreneurial group of the 2018 World New Materials Innovation and Entrepreneurship Competition
Chinese carbon fiber composite manufacturer achieved net profit increased Hay:D02WHGW
Tekna Canada announced that it has invested 5 million euros in France to produce spherical metal powder for additive manufacturing
Strongwell, the world’s leading fiber-reinforced polymer composite pultruder, and Spain’s PIPESPAIN sign a new glass fiber composite product distribution agreement
The leading optoelectronic display glass manufacturer won the prize Hay:B04HBDX
The composite honeycomb structural parts production line put into operation Hay:D02NTZH
Hanwha Advanced Materials to Produce Car Seats With Fiberglass Felt Reinforced Thermoplastic Polypropylene (GMT-PP) Composites
China leading Chrome bronze alloy and copper alloy supplier Hay:A03ZZQY
British company Group Rhodes improves aerospace thin-walled part manufacturing process and applies it to super-plastic forming (SPF) of thin-walled parts
Manufacturer of connectors, cables, motors, wireless charging, FPCs, antennas, acoustics and electronic modules invest 3 billion in smart wearables projects
China has made a series of progress in the research on the preparation of shape memory alloys with elastic heat effect by using novel solidification
Toshiba announces realization Transparent cuprous oxide solar cells
Continuous glass fiber reinforced polyurethane rigid foam molding composite material sleeper used in Beijing subway project Hay:F01LYKBS
WHATIF! Innovation英国创意咨询公司
德国Simon Kucher西蒙顾和:国际战略、营销、定价或销售领域的专家
SCHOTT, the world’s leading manufacturer of specialty glass and glass-ceramics: a global annual profit of 208 million euros. Medical glass is one of the main growth drivers
Leading aerospace High-strength precision titanium alloy fasteners and structural parts manufacturer and supplier provides Titanium alloy fasteners to spacecraft and commercial aircraft Hay:A04SDLT
China fiber-reinforced composite materials and new structure key technologies of waterway protection engineering, successfully replacing steel materials
DSM launches Xytron (PPS) G4080HR, an engineering plastic product for aging materials for electric vehicles, bringing more reasonable choices of automotive thermal management system (TMS) materials for automotive companies
SABIC acquires majority stake in nanotechnology company Black Diamond Structures (BDS), carbon nanotube MOLECULAR REBAR technology will help battery energy storage
德国拜耳Bayer是一家极具吸引力的雇主
China’s aluminum alloy parts precision die castings and processing manufacturers build automotive engine magnesium aluminum alloy die castings project Hay:T02YZSS
Chinese special paper fiber manufacturer plans to invest 930 million yuan to build a fiber research and development center laboratory and a new solvent method cellulose fiber intermediate test line
Covestro states that its Apec 1745 high-temperature polycarbonate is the material of choice for new tamper-resistant Luer lock syringes from Gerresheimer AG
The 12-inch quartz crystal boat developed by the Chinese manufacturer of high-purity quartz pipes and quartz boats has passed the certification of SMIC Hay:B04BJKD
Chinese high-performance adhesive manufacturer provides bridge deck pavement adhesive for Hong Kong-Zhuhai-Macao Cross-sea Bridge Project Hay:Z03HBHT
Lamborghini uses Carbon 3D printing to mass produce automotive parts
Solvay Provides Radel® PPSU Materials to Legacy Medical Solutions Resins for Large-Scale Surgical Instrument Sterilization Tray
Battery Safety Study: Using Shape Memory Alloys to Trigger a Short Circuit in a Battery
Freudenberg develops innovative insulation materials for the electric vehicle market, protecting batteries and peripheral components at temperatures in excess of 1000 ° C
P & G/Procter-Gamble develops artificial intelligence 3D printing and has signed a cooperation agreement with biotech company Aether
BASF launches new 3D printed plastic powders Ultrasint PA6 LM and Ultrasint PP, new photopolymer Ultracur3D, and Ultrafuse brand wires, making complex parts manufacturing easier and faster
Leading Expandable Polystyrene/EPS manufacturer and supplier Hay:C01WXXD
EU invests € 5.9 million to develop low-cost and durable composites
Taiwan thermoplastic polyurethane manufacturer to US market
The global largest nano-silver conductive film and silver nanowires supplier Hay:H02XMTC
Huntsman to showcase the latest innovations at JEC World: composites and polyurethane resins, adhesives
Teijin has developed a new type of carbon fiber reinforced bismaleimide resin (BMI) prepreg
Mitsui Chemicals builds long glass fiber reinforced polypropylene composite production line in China
ACMA powers SpaceX, advanced composites such as basalt fiber and carbon fiber to provide new opportunities for space exploration startup
Lightweight and high-performance carbon fiber composite materials will not replace steel and aluminum alloy materials in the automotive field
MACOM and STMicroelectronics collaborate to increase silicon-based GaN production capacity and support 5G wireless network construction
Williams Advanced Engineering Announces Full-Life-Cycle Carbon Fiber Composite Application Technology
China successfully develops rare earth glaze ceramic materials
The carbon fiber composite manufacturer show at JEC World 2019 Hay:D02WHGW
LANXESS: Polyurethane Dispersion for Glass Fiber Sizing at the European Coatings Show 2019
Chinese solar cell silver paste maker’s product market share rises to 37% in 2018
Corning to Introduce Polarcor ™ 0.12mm Glass Polarizers for Telecom and Datacom Industries
Chinese scientists solve the mystery of oxygen embrittlement of body-centered cubic metal niobium
Markforged, a manufacturer of carbon fiber and indirect metal 3D printers, recently announced that it has completed a $ 82 million Series D financing
China’s magnesium aluminum alloy manufacturer’s annual output of 12 million magnesium alloy wheels starts Hay:T02HNYL
NASA develops new aerospace-grade 3D printed copper alloy powder
Research Prospects of Biodegradable Medical Magnesium Alloys Used in Bone Defect Repair
Thales and Leonardo joint venture Thales Alenia Space applies 3D printing technology to mass production of satellites and spacecraft
Japan JFE Chemical and subsidiary of China Baosteel reached agreement on joint production of battery anode materials
French company CRENO to deliver large-scale composite CNC machining equipment to Chinese aerospace composites manufacturer
U.S. startup Boston Materials raises funds to launch carbon fiber supercomposite
T1000 grade polyacrylonitrile-based hollow carbon fiber supplier Hay:D01ZKSX
China and Russia jointly developed electromagnetic “invisible” coating material can maintain performance even at 700 ℃
Development and application of alumina ceramic cutting tools
The leading microfiber glass wool supplier for aviation aircraft Hay:F03CQZS
Precision semiconductor silicon material project with a total investment of USD 30 million in China
Taiwan solder ball chip-level packaging material manufacturer’s solder ball, solder bar and other semiconductor integrated circuit material production projects started
The world’s lowest loss high magnetic induction oriented silicon steel Hay:F05SHBG
Market opportunities for SiC and GaN semiconductor materials
Two major 3D printing giants Shapeways and EOS partner to enter orthotic and prosthetic markets
Chinese high-precision copper tube and copper rod manufacturer acquires KME Hay:A03ZJHL
GE Renewable Energy installs the largest power fan on land, two-stage carbon fiber blades show great power
Toray has developed a transparent aramid film that can withstand a 1mm bending radius, which is as hard as glass
High-end quartz in photovoltaic applications
Allied Market Research: By 2025, the global electric vehicle battery recycling market will exceed $ 2.2 billion
Ultra-flexible boron nitride nanobelt aerogel prepared by Suzhou Nano
Titanium dioxide manufacturer invested in titanium alloy production facilities Hay:A04HNLM
Fraunhofer develops combined process for mass production of steel and fiber-reinforced lightweight components
Briggs Automotive Company: BAC officially launched the “Mono R” sports car with graphene reinforced carbon fiber
Air New Zealand, Microsoft, American aviation parts supplier Moog and Singapore ST Engineering have teamed up to install a 3D printed part on a wide-body commercial aircraft Boeing 777-300ER
Webast acquires Webast Donghee
Typical application of carbon fiber composite materials in orthopedics
Global polymer product leader Trinseo launches lightweight long glass fiber reinforced ABS plastic alloy
SD Korea launches new viscoelastic foam formula at UTECH Las Americas
Global fiberglass solutions company GFS recovers glass fibers from wind turbine blades
Chinese hospital innovative 3D printed bionic tantalum rod saves femoral head, China domestic tantalum metal powder material Make a contribution
The ultra-fine copper wires supplier invested production facilities Hay:A05SZZW
Importance of flame retardant properties of carbon fiber composites
The porous microchannel flat tube project put into production Hay:A03NJYH
Cummins becomes the first partner to adopt GE’s indirect metal 3D printing technology
Ineos Styrolution achieves styrene reuse for the first time, effectively reducing PS waste from styrene production
Fraunhofer IMM studies two-photon technology for 3D printed nanoscale metal structures
Chinese synthetic mica flakes supplier Hay:B06JYJT
China Leading Elastic Material Technology Corporation successfully developed elastic conductive wire, elastic heating, elastic sensor materials Hay:H05NBRH
Toray successfully developed a new type of carbon fiber reinforced plastic (CFRP) prepreg for aviation
Challenges in the application of carbon fiber composites in the field of rail transit
The importance of surface finish in 3D printed additive manufacturing, the types and application status of finishing technology
Evonik’s NOURYBOND® family of products leads the market trend of automotive plastisols
NASA uses Carbon 3D digital light synthesis (DLS) printing technology to make space robot parts
Chinese precision copper tube and strip manufacturer invested in USA Hay:A03ZJHL
Research progress of graphene aerogels in China for high volume specific energy lithium-sulfur batteries
Chinese carbon fiber composite automotive components manufacturer Hay:D02HBSS
The core is silver nanowires. Hamburg University develops flexible transparent circuits that can be manufactured by 3D printing
Semiconductor transparent material tin dioxide can effectively improve the efficiency of solar power generation
4M carbon fiber company with disruptive carbon fiber technology launches acquisition plan, prepares for listing
High-strength ultrafine steel fibers / wires, cords, ropes and strands, fabric manufacturer and supplier
Chinese basalt composite material manufacturer developed basalt fiber composite leaf spring Hay:D02SCZS
Sinochem-Shanghai Chemical Industry Institute Composite Materials Laboratory, Joint research and development of high-performance composite materials
NIPPON New Materials plans to build 6 new building material production lines with an annual output of 100,000 tons of calcium silicate insulation boards
Mullite (Aluminum silicate) ceramics
3D printed unicorn company Carbon is raising 2 billion E round of financing
Ultra-fast response shape memory polymer / graphene composite
Japanese KANEKA Chemical Company Develops New Transparent Polyimide (PI) Film for OLED Displays
Arkema selects Singapore to produce Rilsan high-performance bio-based polyamide, and the plant is expected to be completed by the end of 2021.
Carbon fiber composite parts for carbon fiber drones and buses Hay:D02ZJJG
US additive manufacturing software developer Sigma Labs received third-party verification of its printrite3d platform in a study by the US Department of Defense DARPA
Chinese and American scientists develop high temperature and extreme cold resistance graphene “space sponge”
Taiwan’s TPK will provide BOE with silver nano touch solution for large-size digital whiteboards
Thermoplastic carbon fiber composite (CFRTP) product “Sereebo” is used in the “CarbonPro” compartment of the “GMC Sierra Denari 1500” and “GMC Sierra AT4 1500”
Japan develops new air electrode material, solid oxide fuel cell operating temperature drops to about 500 ° C
Global leading Nickel-based superalloys,Titanium and titanium-based alloys, Specialty stainless steels, Cobalt-based alloys,Specialty steels manufacturer and supplier Hay A04USAT
Dow accelerates research and development of innovative polyurethane bedding with SleepStudio in North America
Application of carbon fiber in the field of pressure vessels/hydrogen cylinders
Canadian Calian Group launches high-performance composite carbon fiber satellite communications antenna
Honeywell launches a full line of high-performance solvents and inorganic chemicals
Chinese non-ferrous metal company Luoyang Molybdenum completes almost half of overseas mergers and acquisitions
Spatial Corporation, a leading provider of 3D software development design, manufacturing and engineering solutions, establishes strategic partnership with Continuous Composites
Spirit further strengthens its position as the world’s largest manufacturer of automated fiber placement technology
Bosch new fuel cell platinum content will be 90% lower than existing fuel cells
Cree becomes Volkswagen Group Exclusive partner of SiC silicon carbide for FAST project
Henkel acquires American 3D printing company Molecule Corp
Mass production of graphene pipes for China’s special pipeline manufacturers
EOS introduced new metal materials
Dai Nippon Printing / DNP: Anti-scratch anti-reflection film developed successfully
Lithium-ion ternary cathode material market size grew 52.9% year-on-year, NCM523 is still the market mainstream
Teijin reveal thermoplastic carbon fiber prepregs at CHINAPLAS 2019
Ariane rocket using carbon composite can increase load capacity by 2 metric tons
Large-scale polymer composite model manufacturing technology
Arkema acquires ArrMaz, a U.S. specialty surfactant company
Chemours provides important support for 5G ecological network development Teflon ™ fluoropolymer and Viton ™ fluoroelastomer become preferred technologies for 5G networks
Willsemi successfully acquired OmniVision Technologies, the world’s third largest image sensor chip/Highly integrated CMOS imaging technology provider and manufacturer
Hydrogen fuel cell stainless steel metal plate supplier Hay:N01SZCY
Sandvik launches world’s first diamond composite for 3D printing
Airborne Oil & Gas and SíMEROS enter Brazil deep-sea riser market for thermoplastic composites
Large tow carbon fiber project started Hay:D02WHGW
New progress in laser additive repair technology for complex thin-walled aluminum alloy parts
Advantages of aerogel felt in pipe insulation applications
GM wins international award for Ultra High Strength Steel (UHSS), Advanced High Strength Steel (AHSS), and High Strength Low Alloy (HSLA) materials applications
Japanese Shinkansen high-speed rail test vehicle structure is made of flame-retardant magnesium alloy
Russian University cooperates with American University to develop new two-dimensional material of molybdenum oxide
Polyurethane sandwich Insulation Board/Panel manufacturer cooperation with BASF Hay:F03SDWS
New aluminum-based composite material made in Russia can reduce the weight of automobiles and drones
China is willing to meet the legitimate demand for rare earth metals and rare earth alloy materials in the international market
48K large tow carbon fiber manufacturer Hay:D02JLHX
Quartz fiber and photomask quartz substrate manufacturer invested in optical quartz Hay:B04SHFL
Leonardo SPA collaborates with Italian company taltech Srl to develop new composite materials for 3D printed helicopter models
Evonik and EVOLVE Additives collaborate to develop new materials for electrophotographic 3D printing technology
Graphene-modified heavy-duty anticorrosive coatings and other high-performance materials and other scientific research achievements Patent transfer fee income exceeds 1 billion
NCF (Non-Crimp Fabric) manufacturer SAERTEX helps to produce carbon fiber fan blade main beam beam cap sample
Application of graphene heating film in health physiotherapy
Dow selects Univar Solutions as key channel provider for North American polyurethane market
Apple Watch case material uses zirconia ceramic material
National Technical Committee for Comprehensive Utilization of Vanadium Titanium Magnetite Established in Panzhihua
Chinese magnesium aluminum alloy manufacturer expand capacity Hay:A03NJYH
Titanium alloy powder supplier successfully 3D printed titanium alloy aero engine parts Hay:H01HGFT
Different application fields of titanium dioxide have different requirements on titanium dioxide
U.S. scientists have created the world’s most powerful superconducting magnet capable of generating a record magnetic field strength of 45.5 Tesla
Ascend Performance Materials LLC will provide two high-purity additives Hexatran and FlexaTram-DAM high-performance amines to the paint and coatings industry
Mitsui chemical glass fiber reinforced engineering plastic TPX will show its strength in the 5G high-frequency era
Fiber composite material storage box structure applied in launch vehicle
Carnegie Mellon University develops semi-liquid lithium metal anode
K3D-AddFab launches metal 3D printed aviation parts
Mitsubishi Chemical plans to develop overseas high-performance film markets such as “multilayer co-extruded films for food packaging”
LyondellBasell Industries and NESTEOIL announce mass production of bio-based plastics from renewable materials
Toray and Teijin launch new carbon fiber aircraft parts
Scotland’s leading professional lighting distributor Kelvin Lighting has been acquired by Atrium, Britain’s largest lighting distributor
Acuity Brands acquires optical component maker WhiteOptics to further improve performance of commercial and architectural products
Bioinspired hierarchical helical nanocomposite macrofibers based on bacterial cellulose nanofibers
Application of carbon fiber composite materials in drones
Hong Kong scientists invent nanofiber membrane that filters heavy metals and bacteria
Lithium battery equipment and fuel cell equipment supplier cooperate with Blue World
Airbus launches model aircraft made of carbon fiber and glass fiber reinforced polymers
BASF’s plastic additive Tinuvin 360 provides UV protection for 5G base stations to extend service life
Kyocera has developed a new generation of lithium-ion batteries, Lithium-ion battery material cost is about 30% lower than before
Covestro and Aquilaboards use polycarbonate for electric surfboards
WACKER CHEMICAL: Silicone 3D printing services for automotive applications
Leading High-purity microcrystalline graphite manufacturer Hay:B06HNNF
LANXESS begins production of polyurethane prepolymers in Brazil
Graphene nano silver wire composite flexible transparent conductive film manufacturer successfully developed new products Hay:F03HFWJ
Continuous carbon fiber reinforced polyetheretherketone PEEK composite explores the prospects for high-performance plastic manufacturing in 3D printing
Austrian technology company Miba launches new electric vehicle battery cooling system that can be applied to any shape of battery
Chinese nano-silver transparent conductive film manufacturer proposes thin film technology Hay:H02QZTC
Impossible Objects and BASF jointly develop carbon fiber reinforced nylon 6 (PA6) composite material for 3D printing
Expliseat uses carbon fiber to produce the world’s lightest aircraft seat
Samsung and LG’s lithium battery separator suppliers: w-scope invests more than 600 million to expand wet process diaphragm production line
German battery maker Akasol to build new lithium battery plant in the U.S.
OLED material and organic photovoltaic material manufacturer Lumtec said recently: Lumtec has obtained more than 15 kinds of OLED material formula patents
MacBook Air or restart scissor keyboard, glass fiber reinforced plastic plus more durable
Recycled carbon fiber material expert ELG and British Ineos team collaborate to use recycled carbon fiber products for shipbuilding
High-end quartz in semiconductor applications: domestic substitution and performance upgrades promote both “quality” and “quantity”
SABIC launches LNP ELCRIN iQ modified plastic
Diversified development of global smart terminals, nano silver wires are widely used
Posco and Posco Chemical have set up a special research center to develop battery materials with the support of the entire group
With the support of the SGL Carbon Group, students at the Technical University of Munich (TUM) developed an optimized model of the capsule capsule of a Hyperloop train
Corning begins mass production at China AutoGrade, the industry’s first large-size automotive cover glass
RailWay transit vehicles may be another industrial area that uses carbon fiber composite materials after wind power blades
German automotive wiring harness company Leoni considers selling or listing its cable business
Hexcel and Arkema lead highly automated integrated composites (HAICoPAS) project to optimize design and manufacturing of aerospace and automotive composite UD tapes
BASF’s Ultramid Advanced high-temperature nylon portfolio addresses miniaturization, electric mobility and electrification challenges
Japan finds ways to improve efficiency of deep ultraviolet LEDs based on AlGaN
Kyocera acquired H.C. Starck and Friatec
Bentley unveils EXP 100 GT luxury concept car made of carbon fiber and aluminum alloy composites
India’s Dalmia-OCL refractory company acquires German GSB refractory plant for 15 million euros
SK Innovation is developing FCW (Flexible Cover Window). The transparent PI film is expected to be put into production in October, and thousands of folding and bending are still flawless.
Mitsubishi Chemical plans to build carbon fiber sheet molding compound plant in Italy
Teijin works with AEV Robotics to use Teijin’s polycarbonate resin, carbon fiber and aramid fiber, and Continental Structural Plastics structural component design composite material technology to create a lightweight solution for the future of automobiles
Teijin partners with AEV Robotics to develop lightweight solutions for next-generation cars
Toray plans to build a lithium battery separator plant in Europe for 200 million euros and will start operation in July 2021.
Chinese aluminum foil manufacturer cooperate with SIG Combibloc Hay:A03SDNS
Chinese automotive trim system manufacturer and intelligent acoustics manufacturer jointly create industry-leading automotive intelligent cockpit acoustic system, intelligent interaction and intelligent sensor
Markets and Markets report: the global lithium battery market will grow at a compound annual growth rate of 15% in 2019-2025
Covestro develops glass fiber reinforced PU composites for fire-resistant and heat-insulating doors and windows
Ultra-thin precision stainless steel strip supplier Hay:A02TGJT
The Tremco (Trenco) building waterproofing and sealing materials business of the US RPM company will acquire two companies, namely Schul International (Scull International) and Willseal.
The ENGEL organomelt process enters a new dimension, ultra-efficient production of thermoplastic composites with target load distribution
Factors affecting the shielding performance of carbon fiber shielding paper
Henkel and Ampacet collaborate to create renewable carbon-free black-black plastic bottles
Solvay’s high-performance materials contribute to human exploration of space
Chinese carbon fiber composite material manufacturer invests 10,000-ton carbon fiber project with low-cost technology Hay:D02WHGW
PPG to acquire Dexmet, a special surface material manufacturer, to expand market share in aerospace and other coatings
Mitsubishi introduces Colorless Polyimide (CPI) films and PET films
Chinese 25k-50K large tow carbon fiber achieves industrial production Hay:D02JLHX
New Ultra-fine Diamond Wire Sawing Project Hay:B06NJSC
BASF [Forward AM] Launches Ultrafuse 316L Bonded Metal Wire
The Polyimide Fiber Project Closed Hay:C02CCGQ
AddSteel funded by NRW Leitmarkt develops surface hardenable and heat treatable retrofit steel for additive manufacturing
DuPont and ExxonMobil strengthen automotive corner seals with new thermoplastic vulcanizate TPV
Turkish composites manufacturer Kordsa acquires ceramic matrix composites (CMC) maker Axiom Materials
Toray Industries Inc. to build new lithium battery separator plant in Hungary, increase capacity by 20%
Airbus partners with additive manufacturing software developer Sigma Labs to provide quality assurance for aerospace metal 3D printing
Proton exchange membrane key manufacturers and market development status
Arkema acquires resin curing photoinitiator company Lambson, expands Sartomer product portfolio
Chinese carbon fiber leading manufacturer and Vestas strategic cooperation to jointly promote the industrialization project of 10,000-ton carbon fiber Hay:D02WHGW
Lubrizol acquires non-vascular device companies including German vascular devices (coronary, peripheral and intracranial blood vessels) drug-coated balloons, further expanding health business
Merck and UDC realize sharing of OLED technology and are committed to developing superior performance laminated materials
Novelis Inc. introduces high-strength automotive aluminum for next-generation body panels Advanz ™ 6HS-s650
RTP expands thermoplastic elastomer business again, materials company RTP has acquired Zetherm brand thermoplastic vulcanizate product line from Zeon Chemates LP
BASF and Chongqing Yanfeng Andoro launch new material cooperation
Experts from China’s carbon fiber composite automotive component manufacturers analyze automotive carbon fiber composite solutions Hay:D02SZHT
Chinese magnesium alloy manufacturer invested aluminum-magnesium alloy wire Hay:A03GXHX
ThyssenKrupp launches new lightweight steel with higher strength and stiffness, easier to form and lighter weight
Hanergy announces expansion of gallium arsenide battery capacity, consolidates leading position in aerospace high value-added market
Infineon, ST and ON Semiconductor have cooperated with Cree in the SiC field
China National Light Alloy Precision Molding National Engineering Research Center provides a new way for the study of the deformation process and biomechanical properties of magnesium alloy vascular stents
Self-healing and flame-retardant polyurethane film
Replace MOI materials? China graphene technology:Nano silver ink, nano silver transparent conductive film manufacturer and supplier unveils world’s first metal-nano silver wire (MOS) solution Hay:H02QZTC
Borouge’s carbon fiber reinforced polypropylene (CF-PP) center console bracket will be unveiled at the K show in Germany
AMS bids for $ 4.1 billion to acquire German lighting and sensor maker Osram
Continental provides integrated front and built-in cameras for autonomous driving
Bosch naked-eye 3D technology makes reading stereo information a reality
DOMO Chemical acquires Solvay’s European high-performance polyamide business
Global leading chemical companies strengthen nylon 66 engineering plastics and nylon 66 industrial yarns, nylon 66 airbag yarns
High-performance carbon fiber technology research institutions and main applications
Nisshin Steel has developed a wear-resistant steel plate containing Nb
Chinese high aluminum electronic glass manufacturer reaches strategic cooperation with Facebook to provide high aluminum glass for consumer electronics body protection Hay:B04SXNB
PolyPlastic, a global supplier of engineering thermoplastics, and Topas Advanced Polymers GmbH, a manufacturer of its cyclic olefin copolymers (COCs), will jointly showcase their latest material technologies and products at K 2019.
Mitsubishi Chemical develops high heat resistance and low viscosity epoxy resin YX4000, which perfectly meets the development trend of automotive electrification
Covestro: Bayfill® polyurethane semi-rigid filling foam, polyurethane polyurethane structural filling material injected into Bayfit® SA sound-absorbing material, creating a healthy and quiet car space
Washington startup XNRGI plans to launch “porous” silicon battery
The high-performance ultra-thin lithium battery copper foil and electronic copper foil project invested by China Electronic Materials Technology Corporation was formally put into production Hay:A03ZJHY
China Leading Universities Jointly Establishes Micro-nano Ceramic New Material Industry Technology Research Institute
Delphi Technology focuses on the automotive aftermarket
Boston Scientific completes acquisition of medical device manufacturer BTG, strengthens interventional medical portfolio
Universal Display Corporation (UDC) and Chinese OLED organic light-emitting material and color photoresist material manufacturer announced a strategic partnership to work together to commercialize OLED host materials
University of Manchester to partner with Chinese graphene and optoelectronic display material manufacturer to tackle suspended graphene sensor chips Hay:H02HBDX
International automotive lighting systems and electronics solutions leader Hella and Nebula join hands to create smart car solutions
Chinese quartz material technology manufacturer’s 32-inch semiconductor quartz crucible production line officially put into operation Hay:B04NXFL
Brose cooperates with IPG Photonics to develop laser welding technology to greatly improve the production efficiency of automotive seat rails
SEKISUI CHEMICAL CO., LTD. Increases production capacity of automotive interior and exterior parts
Curbell Plastics acquires Nationwide Plastics, a manufacturer of precision injection molded parts
LANXESS: Polyamide 6 replaces Polyamide 66 for automotive steering column switch housings
Stratasys announces expanded partnership with aerospace and defense giant BAE Systems
Biodegradable medical magnesium alloy supplier invested in magnesium alloy projects Hay:A03DGYA
Germany’s Vacuumschmelze company pushes ultra-compact filter chokes, which facilitates efficient mixing of automotive powertrains
The world’s first full-line UCC project using carbon fiber composite core wire (ACCC) successfully connected to the grid
KRAIBURG TPE meets new VDI guidelines for medical grade plastics
China overcomes high-end styrenic thermoplastic elastomer (styrene-based TPES) production technology Hay:C05BLSH
MAHLE launches new modular hybrid drive
Acta Mater: Designing New Ultra High Strength Stainless Steel Based on Machine Learning
Germany’s ThyssenKrupp plans to introduce additive manufacturing technology into submarine construction, and provide 3D printed parts and austenitic stainless steel parts processing services
Medtec China | Clariant will showcase innovative solutions for medical devices: modified materials for developing catheters: improved catheter materials based on polyether block amide (PEBA) and thermoplastic polyurethane (TPU)
Chinese carbon fiber manufacturer’s continuous fiber reinforced thermoplastic prepreg composite organic board wins China Composites Expo 2019 innovation award Hay:D02JFKJ
Acer introduced a new CPU Thermal Interface Material (TIM), which improved 77.7% thermal efficiency and achieved 12.5% CPU performance improvement.
Chinese precision industrial structural ceramics manufacturer and Chinese Academy of Sciences establish metal-ceramic composite research center Hay:B03SDGY
Autoliv, a global leader in automotive safety systems, collaborates with Great Wall Motors, a major manufacturer of Chinese SUVs
New Ultra-thin Heat Pipes and Soaking Panels Project Hay:F03SZCY
3D printing startup Meditool receives Evonik funding and replaces metal 3D printed neuro and spinal surgical implants with Evonik’s polymer PEEK
Layout of 84 electric vehicle and parts factories in Europe
Cree joins hands with Delphi Technologies PLC to collaborate on automotive SiC devices
Goodyear partners with Brabham Automotive
New carbon fiber structural material produced by Chinese high-performance carbon fiber material manufacturer successfully replaces steel bars Hay:D02SHSH
Total Corbion PLA boosts capacity of its PLA bioplastics plant by 50%
Schaeffler showcases next-generation wire-by-wire technology and Schaeffler Mover at the 2019 Frankfurt International Auto Show
Chinese automotive seat, interior, exterior, rubber automotive parts suppliers battle overseas markets with innovative products
Auto parts supplier Benteler, Bosch and auto brand Automobili Pininfarina jointly design electric luxury vehicle platform
Michelin and Continental intend to establish a joint venture:Rubberway
GE Additive announces opening of new 40,000 square meter center in Lichtenfels, Germany
WACKER Chemical acquires 25% stake in Nexeon, leading manufacturer of silicon-based anode materials to strengthen investment in silicon materials for batteries
China establishes academician workstation for rare earth permanent magnet servo motors
Radiation-resistant medical polypropylene material, super soft polypropylene NS06 (waterproof membrane), super tough polyethylene PE100 grade pipe manufacturer Hay:C02ZHWH
Chinese cadmium telluride power generation glass wins CIIF material award Hay:B04CDZC
British composites technology company Airbond wins Queen’s Innovation Award for fiber splicing technology
Axalta acquires powder paint manufacturer Capital Paints
The high-strength 7A77.60L aluminum powder for 3D printing developed by HRL Lab was officially put on the market
K 2019 | Ascend to launch new specialty polyamides: Vydyne® XHT long-acting high temperature polyamide 66 (PA66), long chain polyamide and high temperature polyamide
BASF’s new material Elastolit® 8819 helps cars lose weight
Chinese automotive turbocharger manufacturer BMTS technology Jinan plant officially put into operation
China battery-level lithium carbonate (Li2CO3), battery-level lithium hydroxide (LiOH • H2O) leading manufacturer and Swedish battery supplier Northvolt sign long-term supply agreement for lithium hydroxide
China thermal plasma prepares silicon nanowire lithium battery anode materials for mass production in kilograms
The 1st International Additive Manufacturing, Powder Metallurgy and Advanced Ceramics Exhibition: Formnext + PM South China 2020 Settled in Shenzhen
Automotive lighting and electronics expert Hella unveils next-generation lighting technology SSL | HD
K 2019 Textile Machinery Karl Mayer’s innovative thermoplastic unidirectional fiber reinforced tape production line will be unveiled
Continental aims to strengthen long-term competitiveness and actively shape future mobility
PureCycle Technologies successfully turns waste carpets into ultrapure recycled polypropylene (UPRP) resin through proprietary plastic recycling technology developed by Procter & Gamble (P & G)
Global Magnet Wire Technology Leader: Superior Essex and Furukawa Electric form a joint venture company
Continental launches energy-saving concept tires
High-end quartz is used in aerospace, astronomy, laser, semiconductor and other optical components, and has strict requirements on material parameters
China Manufacturer and Supplier for Powertrain Mounting,Chassis Bushing,Rubber Metal Parts,Torsional Vibrational Damper,Aluminum Die-Casting
WACKER’s new fumed silica plant in the United States officially starts production, with an annual capacity of 13,000 tons
The carbon fiber sucker rod technology from China won the International Industry Fair award Hay:D02SLXD
German auto parts giant Bosch is currently developing silicon carbide (SiC) semiconductors
SKF Motion Technologies changed its name to Ewellix
ZF, BorgWarner, Schaeffler and Continental promote the combination of motors, gearboxes and inverters with traditional axles to form a highly integrated electric drive axle
Austrian ceramics 3D printing expert Lithoz and Corning collaborate to achieve the first 3D printing of Corning glass ceramic materials
Huntsman showcases IROGRAN series TPU elastomers for films and sheets at K 2019
Carboni e metalli showcases a motorcycle with carbon fiber composite material and 3D printed titanium alloy rear swing arm
Airbus China Innovation Center (ACIC) will cooperate with BYD, one of the world’s largest manufacturers of electric vehicles and batteries
Faurecia’s acquisition of the remaining shares of the joint venture from Continental will enhance cockpit R & D capabilities
Chinese researchers develop high thermal conductivity graphene-alumina filler thermally enhanced polymer composite material, which is expected to solve the heat dissipation problem of electronic equipment
Takata airbag poison has not been completely removed, and software failures are beginning to rise?
Autonomous driving trend, Chinese millimeter-wave radar companies may become protagonists
China’s millimeter-wave radar companies may become the protagonists of the self-driving trend
China leading magnesium rare earth alloy castings and parts supplier Hay:A03ZZQY
Sumitomo Chemical will focus on developing polarizers and LCP films in the future
Samsung mobile phones will use flexible ultra-thin glass (UTG) material next year to replace the original transparent polyimide (plastic) material
Carbon fiber wheels manufacturer Dymag plans to raise 5 million pounds to build a new production line
4M Carbon Corp. and Montefibre Carbon Fiber intend to form a joint venture to jointly develop low-cost industrial-grade carbon fiber
ThyssenKrupp to lay off staff, update corporate structure in November
Optomec launches new laser metal 3D printing laser near net shape (LENS) deposition head (LDH 3.X)
Engenuity, Huntsman, Johns Manville, Schmidt & Heinzmann, Chomarat jointly develop high-performance composite automotive leaf springs at K show
Polymaker launches three new polycarbonate 3D printing materials commonly used in the automotive industry
Application of Heraeus electronic materials in new energy vehicles
Clariant anti-static / Electro-Static discharge (ESD) safety materials 3D printing materials: PLA, PET-G, PC ABS and electrostatic carbon fiber reinforced nylon PA6 / 66 CF20
GM strike causes weekly loss of nearly $ 75 million, U.S. car seat and electronics system supplier Lear lowers 2019 revenue forecast
The leading manufacturer of Furnace quartz tube and Quartz Tubing Hay:B04HBFL
Corning V borosilicate glass alor® Glass approved by the US FDA
Scheurer Swiss develops carbon-reinforced composite 3D printed components for catamarans
Umicore and Samsung SDI sign 80,000 tons NCM material supply agreement
Chinese automotive aftermarket holds huge potential, LED lights will become a “bright spot” for development
Aptiv,a global technology company dedicated to the future of mobility,Announce financial results for the third quarter of 2019
Sumitomo Rubber pioneers tire AI analysis technology: Tyre Leap AI Analysis
OceanGate announced that it plans to use its carbon fiber and titanium alloy materials to design and build two new submersibles: Cyclops 3 and Cyclops 4
China’s leading manufacturer of automotive safety systems, Joyson Electronics, received 56 billion yuan in new orders from global automakers such as Volkswagen, BMW, Mercedes-Benz, Audi, and Porsche
CEAD strengthens cooperation with Siemens to realize industrial 3D printing of large fiber-reinforced composite parts
PolyOne launches reSound ™ OM overmolded thermoplastic elastomer (TPE)
Flexible substrate manufacturer CelLink receives $ 22.5 million in investment from Robert Bosch
Epic Aircraft’s full carbon fiber aircraft received FAA airworthiness certificate
Solvay partners with Baker Hughes to increase the use of composite materials in oil and gas pipelines
Chinese para-aramid fiber manufacturer realizes para-aramid industrialization, breaking the 40-year monopoly of the United States and Japan Hay:D01ZHLX
The products of Chinese high-performance electrode foil manufacturers have been applied to aluminum electrolytic capacitors in 5G base stations A03NTHX
Formlabs announced that it has acquired Spectra Group Photopolymers, its material supplier, and established the Formlabs Dental business to promote the development of the dental market
Typical applications of TiN/titanium nitride ceramic materials
The world’s top 100 automotive parts suppliers:Webast launches new era of autonomous driving
Toray develops a prepreg structural resin system suitable for the high-speed motorsports market
Sika Body Structure Intelligent Injection Adhesive Solution
Visteon’s cockpit electronic system will be applied to BMW, Land Rover
PPG’s new ENVIROCRON ™ Extreme Protection Edge powder coating is designed for corrosion protection of sharp edges in metal processing
High-temperature alloy and titanium alloy manufacturers invest in cobalt-titanium alloy artificial joint project A04BJBM
LANXESS Polyurethane Systems Business Unit and Citrine Informatics (CI) use artificial intelligence to develop polyurethane formulations
Carbon Conversions partners with Dell to recycle carbon fiber to create a lighter and more durable Latitude
Covestro INSQIN® waterborne polyurethane technology redefines the performance and role of automotive interiors
Reshape the supply chain Daimler introduces 3D printing to save costs
Heat-resistant, low-temperature and corrosion-resistant fluorocarbon coating special aluminum alloy materials with “self-cleaning” function are used in the Hong Kong-Zhuhai-Macao Bridge project
AGC will use Arevo’s Aqua 3D printer to provide 3D printed composite parts manufacturing services in Japan
Axalta Insulation Coatings Systems Pave the Way for the Future of Electric Vehicles
Manufacturer of ultrafine Metal powder production equipment suitable for additive manufacturing (3D printing) special metal materials:titanium and titanium alloys, magnesium and magnesium alloys, aluminum and aluminum alloys, high-temperature nickel alloy
The localized para-aramid project was successfully put into production Hay:D01NMSM
Carbon fiber high-pressure hydrogen storage tanks used in hydrogen fuel cell vehicles are expected to become the next important application market for carbon fiber composite materials
Freudenberg acquires Filc, a needle-punched nonwoven fabric and laminate manufacturer, to strengthen its high-performance materials business
China develops world’s first carbon fiber composite subway train
Application of carbon fiber continuous sucker rod lifting technology in Chinese oil field
DSM announced a partnership with Chromatic 3D Materials and German RepRap to jointly promote the production of 3D printed polyurethane parts
Leading manufacturer of carbon fiber, CRRC, China Composites Society, etc. initiated the establishment of a professional member of rail transportation composites
WACKER launches DEHESIVE series of high-speed coating anti-fogging release agent and fast-responsive silicone pressure-sensitive adhesive
U.S. develops ultra-thin composite material made of carbon nanotubes and bucky paper Shield / heat shieldto better protect ultra-high-speed aircraft
Coating giant PPG Group has used titanium dioxide produced by the Chinese titanium dioxide manufacturer’s new chlorination process Hay:B08HNLM
In response to the blowout of lithium battery material demand, Solvay plans to increase China’s Solef® PVDF polyvinylidene fluoride capacity by more than double
Chinese automobile body structural parts manufacturer and GAC Research Institute jointly develop automobile lightweight composite material instrument panel crossbeam project Hay:Q03SZYB
Huntsman Group acquires Icynene-Lapolla, a manufacturer of spray polyurethane foam (SPF) insulation systems, to strengthen the business of spray polyurethane foam insulation
China Environmental Friendly Materials Manufacturers:Laminates and biomass composites
Other Advanced & High Performance Metal Materials Industry
China Commercial Application Acceleration for Hydrogen Fuel Cells
China Advanced & High Performance Ceramic Powder Materials Industry
China Tire Pressure Monitoring System (TPMS) Manufacturer & Supplier, Tire Valve, Tire Pressure Gauge
NXP Merged With Freescale Semiconductor
Infinitum Electric’s company invents a motor with a printed circuit board stator
Global Automotive Components And Parts Manufacturers Moves To New Energy Vehicle Field
Thyssenkrupp BILSTEIN quality service upgrades at the Automechanika
Continental debuts at the Frankfurt Auto Parts Show, providing professional solutions for the automotive aftermarket
China Top Automotive Aluminum Alloy Precision Die Castings Components & Parts Manufacturer and Supplier-Hay:Q02GDWC
China Leading Spandex, Meta-aramid and Para-aramid Fiber Manufacturer & Supplier Hay:D01YTTH
China Leading Automobile Suspension System Manufacturer & Supplier Shock Absorbers Hay:Q02ZJZY
China Leading Manufacturer and Supplier for PVC, PETG, ABS, PC and Bio-degradable ID Credit Smart Cards Material Hay:D02JSHX
China Leading Shock Absorbing Damping & Seismic Isolation Products Manufacturer and Supplier Hay:K09YNZA
SGL Group manufactures fiberglass composite rear axle longitudinal leaf springs for Ford Transit
Advantec Steigentech and Michelin enter Asia Pacific market
New energy vehicles are coming, will the auto engine completely disappear?
ZF Friedrichshafen AG and China Wolong Electric Drive Group form joint venture
Faurecia expects record sales and profits in 2022 boosted by Faurecia Clarion Electronics
The world’s first 100% biodegradable PLA mineral water bottle is launched
China Supplier and Manufacturer made Substantial progress in silicon carbide business,Luxiao Technology cuts into 5G communication core material field
Plastic and Composite Testing Company:Smithers Rapra Global plastic film demand is forecasted at 73.3 million tons in 2021
Deloitte China Partner: Insights on Supply Chain Risks in the Chinese Automotive Industry
China manufacturer and supplier for aluminum alloy high pressure casting automotive components and parts Hay:Q02GDHT
Bosch: auto industry will not increase production by 2025 due to transformation of electric vehicles
China Automotive Parts & Components Manufacturer: BHAP Company and Hella Group Signed Strategic Cooperation Agreement in the Aftermarket
Bosch applies for 5G operation license in Germany to build Industry 4.0 factory
Autoliv, the world’s largest manufacturer of airbags and seat belts, sets mid-term target for 12% core profit margin
China Automotive Electronics Supplier HF acquires all global relay business of Hella Group
Rheinmetall Automotive receives new orders for China business, supplies lightweight pistons to world-renowned car companies
China Leading Specialty Glass Manufacturer and Supplier for ultra white float glass,special insulating glass,LOW-E coated glass Hay:B04SDJJ
China Leading Manufacturer and Supplier for Aerospace high-end titanium alloy fasteners and structural products and systems Hay:A04DFLT
The FuPro project of continuous fiber-reinforced thermoplastic composite material by LANXESS in Germany won the gold prize in the surface treatment and technology category at the eMove360 ° European Mobile Travel Conference
Chinese special steel manufacturer successfully develops low temperature resistant hot rolled H-beam Hay:A02MGJT
Australian sapphire miner Lava Blue develops a method to convert kaolin to high purity alumina (HPA)
China’s high-end rubber and plastic material modified seal manufacturer signs solar roof seal purchase contract with Tesla Hay:C05JYHD
China leading supplier of EMI and thermal Managemen Hay:F04SZAJ
China leading carbon fiber composite manufacturer Hay:D02HNKE
Chinese chip cooling substrate manufacturer develop chip cooling substrates to fill domestic gaps Hay:I04FSHZ
Albany Composites partnered with Airbus to apply Albany ’s 3D reinforced composite technology to the secondary structure of next-generation aircraft wings
NIMS: New nanosheet material with controlled conductivity or used in wearable electronic devices
3D printing metal powder: aluminum alloy powder with The Hall flow rate, CuCrZr powder, high-entropy alloy powder manufacturer and supplier Hay:H01JSWL
The world’s largest single-walled carbon nanotube manufacturer officially opened its first technology R & D center in China
Global leading copper tungsten alloy and copper chromium components supplier of Siemens and ABB Hay:D04XASR
China carbon fiber composite structural parts manufacturer successfully developed aviation carbon fiber composite blades Hay:D02JSXY
Chinese PC/PMMA composite film manufacturer introduces wide-format PMMA polarizer film production line from Nitto Hay:F06SCLH
Japan develops all-solid battery electrode materials to pave the way for large-scale low-cost production
China leading manufacturer and supplier for ultra-large diameter quartz glass diffusion tubes, quartz boat, quartz carrier Hay:B04SHHQ
British TRB Lightweight Structure Company and Toyota Tsusho America joint venture company will produce light-weight high-performance composite parts for electric vehicle/EVs
China Leading Manufacturer and Supplier for 3D Printing aluminum alloy powder, CuCrZr powder, multi-system high entropy alloy Hay:A05JSWL
China’s high-end lithium battery separator achieves large-scale breakthrough in international market share
Shin-Etsu Chemical launched quartz glass fiber cloth,thermosetting low dielectric resin and Heat dissipation sheet for 5G high-band electronic devices and circuit boards, antennas, radar domes
Aluminum and magnesium alloys supplier Hay:A03HBST
3D printed new pipeline from ExOne company in the United States changes the way composite materials are processed
High-performance carbon fiber composite materials help wall-climbing micro-robots create new structures
Solvay provides Halar ECTFE high performance thermoplastic film for Safran LEAP1 engine acoustic panel
LeMond Carbon rapid oxidation carbon fiber technology, performance is comparable to Toray T300
SKC plans to acquire SKCFT Holdings, ultra-thin 4.5μm, high-strength copper foil manufacturer for lithium-ion batteries
Chinese researchers compound precious metals, semiconductor materials and carbon-based materials to prepare composite photothermal materials with ultra-high seawater desalination and power generation performance
Glass fiber reinforced PP manufacturer Hay:D02QDGE
Cabot Corporation acquired Chinese carbon nanotube manufacturers: carbon nanotube dry powder, carbon nanotube paste (NMP), carbon nanotube paste (deionized water) Graphene
Arvedi Endless strip production technology revolutionizes flat-steel production
Composite materials market and technology development trends
4M carbon fiber and Montefibre Carbon cooperate to develop low-cost industrial-grade carbon fiber
Chinese mobile phone manufacturer Oneplus unveiled new concept phone at CES 2020, using electrochromic materials, the color change time of glass is as short as 0.7 seconds
Premium AEROTEC showcases CFRP-AM hybrid metal design for future light aircraft structures
China’s high-performance fibers: manufacturers of spandex, meta-aramid, para-aramid, and BASF form advanced polymer materials research institute Hay:D01YTTH
Teknor Apex expands Medalist overmolded medical grade TPE product line
China Leading Manufacturer and Supplier of Plastic flexible packaging gravure printing roll, cigarette case packaging gravure printing roll, medicine packaging gravure printing roll Hay:T10HSJG
Nissan has successfully developed lightweight super sound insulation materials based on meta-material technology
China Leading Manufacturer and Supplier of Metal fiber sintered felt, multilayer metal sintered mesh, metal filter and other metal porous materials Hay:F09XAFE
Global advanced fabric company Low & Bonar has signed a license agreement with thermoplastic cellular technology expert EconCore to use its cellular technology
Milliken announces its intention to acquire Borchers from The Jordan Company
China Leading Manufacturer of Linear touch motor, high precision CNC and diamond cutting technology 3D glass Hay:U01SZRS
China Manufacturer and Supplier of Microfiber substrate, microfiber synthetic leather and microfiber suede D01SHHF
China Leading Refrigeration motor, micro special motor, outer rotor fan, ECM motor Manufacturer and Supplier Hay:U01HZWG
China Leading Decorative base paper, surface abrasion resistant paper, non-woven wallpaper base paper Manufacturer and Supplier Hay:D10SDQF
China Leading High-precision copper tubes for air conditioning and refrigeration manufacturer and supplier:High-strength thin-wall, high corrosion-resistant and high-precision copper tube Hay:A03HNJL
China Leading Special steel: high-performance bearing steel manufacturer and supplierHay:A02JYXC
China Leading Wind power gearbox , Rail Vehicle Gearboxes, high speed gearbox manufacturer and supplier Hay:U02NJGC
China Leading Stainless Steel Chain,Conveyor Chain,Drive Chain manufacturer and supplier Hay:U02HZDH
Chinese manufacturer of biodegradable polymer PBAT gets technical authorization from BASF Hay:C03SHTC
China Leading Precision drill chuck, precision fixture manufacturer and supplier Hay:T10SDWD
China leading High-purity fine alumina, fine aluminum hydroxide powder, zeolite molecular sieve, pseudo-boehmite, high whiteness aluminum hydroxide manufacturer and supplier Hay:B01SDLY
Cost is the key to the competitiveness of Mini LED backlight products
The world’s largest manufacturer of soft magnetic materials cooperates with Chinese manufacturers of cylindrical battery power systems and energy lithium power systems to promote the development of the cylindrical battery industry Hay:F05HDDC
China Special Steel Group successfully developed a new type of high-strength precipitation hardening stainless steel Hay:A02SGJT
China Magnetic Shielding Sheet,Fe-based amorphous soft magnetic alloy powder manufacturer and supplier Hay:F05BJAT
OCSiAl carbon nanotubes TUBALL modified asphalt concrete successfully passed the actual road test
Japanese researchers use boron-doped nanodiamonds as supercapacitor electrodes to achieve high energy storage equipment
China high-performance sintered rare earth permanent magnet material NdFeB, bonded rare earth permanent magnet material NdFeB manufacturer acquisition project Hay:A06AHDD
China carbon fiber, aramid and other high-performance fiber composite products manufacturer and supplier Hay:D02JSAS
Daicel acquires PI-CRYSTAL to commercialize flexible and thin organic semiconductor components
China manufacturer and supplier of High Performance Water-reducing Admixture,Durability-enhancing Admixtures,Cementitious Materials,Special Concretes
China manufacturer and supplier of DMTO (Methanol to Olefins), EVA (Ethylene-Vinyl Acetate), PP (Polypropylene), EO (Ethylene Oxide), EOD (Ethylene Oxide Derivatives)
China leading manufacturer and supplier of Modified asphalt waterproof materials, Self-adhesive waterproof system, Polymer waterproof materials, Coating waterproof system, Root puncture resistant waterproof series Hay:K02WFHY
China leading manufacturer and supplier of Ferroalloy-based composite hard alloy materials, ultra-fine tungsten carbide powder, diamond abrasives, pure-carbon contact strips for electric locomotives Hay:D04PLCY
China pure chitosan fiber,marine renewable fiber and anti-bacterial fabrics manufacturer and supplier Hay:D01SDHS
Chna leading manufacturer and supplier of Boron nitride and its composite ceramic materials, boron nitride multiple-phase conductive ceramic evaporation boat for vacuum aluminum plating Hay:B01SDPC
China Silica Fume,Desilicon-zirconium,FUSED ZIRCONIA manufacturer and supplier Hay:B01BBZH
China leading vacuum evaporation and sputter coating materials manufacturer and supplier for optics, semiconductors, flat-panel displays and surface decoration Hay:A01FJAS
China leading Polyurethane, soft and rigid foam polyether manufacturer and supplier Hay:C02SDWH
China leading metal 3D printing materials:aluminum alloy powder AlSi10Mg, CuCrZr,multivariate high-entropy alloy, titanium alloys, cobalt-chromium alloys manufacturer has made many new breakthroughs in the field of metal 3D printing powder Hay:H01JSWL
China Peroxide crosslinked polyethylene insulation material, silane insulation material, shielding material, low-smoke halogen-free fuel manufacturer and supplier
Teijin establishes the European Automobile Center to create a European cooperation platform for composite materials within the Group
China leading polyamide air covering yarn,Drawn Textured Yarn/DTY/Spandex Covered Yarn/Covered Spandex Yarn manufacturer and supplier Hay:D01ZJYT
China leading polyurethane-polyether manufacturer
China leading EMI shielding material, LVDS absorbing material, electronic insulation material and hot melt adhesive film manufacturer and supplier Hay:F05GDLE
PolyOne develops a new medical grade TPE Versaflex ™ HC BT218 to replace silicone for medical tubing
China Leading manufacturer of Superhard composite material: diamond composite sheet, cubic boron nitride composite sheet, superhard material: artificial diamond and cubic boron nitride abrasive tools Hay:B06GDJX
China leading NYLON 6 SLICE,PRE-ORIENTED YARN,DRAW TEXTURED YARN manufacturer and supplier Hay:D01ZJFY
Weather-resistant functional film:PVDF film for Photovoltaic Backsheet,Pigmented PVDF Film,Transparent PVDF Film, Hay:C04HZFM
China leading Chrome-manganese-tungsten wear-resistant cast iron ball-milling material, wear-resistant cast steel combined liner manufacturer and supplier Hay:A04HNHY
China leading Copolymer Coated Aluminum Tape,Copolymer Coated Copper Tape, ALu PET tape (EAA) manufacturer and supplier Hay:D04WXSD
Russian OCSiAl company’s graphene nanotube synthesis device put into production
China leading Ultra-high strength, wear-resistant, heat-resistant and ultra-tough special steel materials: high-quality carbon structural steel, spring steel, tool steel manufacturer and supplier Hay:A02HBTY
Engineering glass,Flat & Curved Tempered Glass manufacturer and supplier Hay:B04ZJDS
Superalloy,Corrosion resistant alloy,Heat conducting alloy manufacturer and supplier Hay:A04SYJN
Carbonyl iron powder, atomized alloy powder, metal injection molding feedstock, 3D printing alloy powder manufacturer and supplier Hay:A05JXYA
PVD vacuum ion coated stainless steel decorative sheet manufacturer and supplier Hay:K01ZJBH
High purity rare earth metal material and alloys manufacturer and supplier Hay:A06BTJW
Solvay long glass fiber reinforced thermoplastic material Xencor LFT material meets the innovative needs of medical device structural components
China’s high-precision cold stamping molds, air-conditioning fin molds, and ultra-precision parts manufacturers successfully develop precision parts and complete sets of aerospace satellites, commercial satellites Hay:T10WXWY
Overlay Clad Metal Matrix Composite Materials: titanium steel composite plates, titanium, nickel, zirconium and special stainless steel composite plates manufacturer and supplier Hay:D04SXXB
Global Leading Aircraft-related precision parts and structural parts, including the core wing surface of the aircraft, engine-related parts, take-off and landing equipment, and oil pump covers manufacturer and supplier Hay:T09SXLS
Magnesium-lithium alloy precision parts,magnesium alloy sheet/strip and stamping parts manufacturer and supplier Hay:A03NXZT
Polyester resin for powder coatings manufacturer and supplier
Thermal insulation decorative board, new fire insulation material, architectural coating Hay:K06SHYS
Copper-Clad Aluminum (CCA) Busbar/wire supplier Hay:D04YTFX
High-purity high-strength special alloy products, aluminum alloy, copper alloy, magnesium alloy, zinc alloy manufacturer and supplier Hay:T02BJGY
GE Healthcare’s investment in China
Dow Chemical cooperates with Chinese new energy manufacturers to apply new high-strength, lightweight and environmentally-friendly materials to new energy production vehicles.
Leading high-precision photomask manufacturer and supplier Hay:I03SZQY
Leading carbon fiber and fabric, carbon fiber prepreg, glass fiber preg, carbon fiber composite products manufacturer and supplier Hay:D02WHGW
China titanium alloy plate,tube,wire,sheet,Titanium alloy precision forging components and parts leading manufacturer and supplier Hay:A04BJLX
Moi Composite launches MAMBO fiberglass 3D printing ship
Low-iron ultra-clear glass, photovoltaic photovoltaic glass, electronic glass manufacturer`s 0.33 mm ultra-thin high-aluminum glass to achieve commercial production Hay:B04SZQB
AFC Energy launches hydrogen-powered charger to charge electric cars anytime, anywhere
Aramid fiber and aramid composite material
Titanium alloy powder,Ultrafine FeSiAl powder,High-temperature alloy powder,Stainless steel powder manufacturer and supplier Hay:H05ZHMT
Leading ultra white solar photovoltaic glass,Anti-Reflective Coating Solar Glass manufacturer and supplier Hay:B04ZJYX
Enameled copper core, aluminum core electromagnetic wire, ultrafine electromagnetic wire manufacturer and supplier Hay:F05ZJLX
Chinese 3C product leader XIAOMI invests in 5G era’s innovative heat dissipation material: CVD copper-based graphene thermal conductive film to help Xiaomi stand in the high-end market Hay:F03GDMR
Faurecia and Hyundai Motor sign heavy-duty composite tank contract
High-performance carbon fiber composite material, high-performance aramid ballistic cloth, aramid fiber insulation tube manufacturer and supplier Hay:D02SCLC
Leading graphene advanced materials: ultra-fine graphene nanoribbons, graphene lithium battery electrode materials, graphene composite heat dissipation materials, graphene touch screens, graphene transistors manufacturer and supplier Hay:H02GDMR
Third generation semiconductor material silicon carbide substrate Hay:I04HBTG
China leading silicon carbide particles reinforced aluminum matrix composites manufacturer successfully developed large-scale components of silicon carbide particles reinforced aluminum matrix composites Hay:D04HNWC
Samsung SDI and ECOPRO BM cooperate to build a production line of 50,000 tons of lithium battery cathode material
Leading Ultrafine metal powder: spherical titanium and titanium alloy powder, spherical superalloy powder, spherical stainless steel powder, nickel-based alloy powder, high-speed steel powder manufacturer Hay:A05NBZW
Carbon fiber reinforced silicon carbide composites brake discs Hay:D05HNSX
Leading UHMWPE FIBRE fabric,clothing, cut resistant gloves,bulletproof armor manufacturer Hay:D01JSJJ
Evonik showcases closed-cell polymethacrylimide foam (PMI) ROHACELL rigid foam material to the aerospace composites industry at JEC World
Huawei mobile phone MateXs uses zirconium-based liquid metal, demand may grow rapidly
Leading prestressed steel wire, steel strand and oil tempered spring steel wire,galvanized steel wire for large span suspension bridge main cable manufacturer and supplier Hay:A05NTBT
Carbon fiber-based small-section tapered tube developed by Sweden’s Biteam
Leading 6μm high-end ultra-thin electronic grade copper foil, ultra-thin dual-Glossy lithium battery manufacturer and supplier Hay:A03JXTB
Leading high-performance polyimide film maker’s 8,000-ton polymer film new material project begins Hay:C04SZRH
High-strength aluminum alloy powder material, metal 3D printing powder material manufacturer and supplier Hay:H01HNHT
3A Core Materials launches AIREX® TegraCore ™, a new thermoplastic core material
High-precision aluminum alloy plate, strip, foil, aluminum oxide plate, cable aluminum foil, air conditioner aluminum foil Hay:A03JSDS
Carbon Composites e.V., CCeV: MAI Skelett, the latest carbon fiber composite automotive component development project
Graphene and nano silver wire materials, graphene nano silver wire composite flexible transparent conductive film, graphene far-infrared flexible heating module manufacturer and supplier H02HFWJ
Carbon fiber matrix composites Carbon / Carbon Composite Materials, Carbon / silicon carbide ceramic composites manufacturer Hay:D02HNJB
ThyssenKrupp sells ace elevator business for € 17.2 billion
Leading automotive aluminum alloy precision casting parts and components,high-precision aluminum die casting products manufacturer and supplier Hay:T02NBAD
SAERTEX multiCom LP expands production capacity of in-situ cured glass fiber reinforced pipeline lining materials in the US
Leading high precision micro metal stamping parts,deep drawing and precision welding parts, precision tooling manufacturer and supplier Hay:T01SZHL
Photovoltaic packaging EVA / POM film, photosensitive dry film, photosensitive cover film manufacturer invested 1.6 billion yuan, newly built 500 million square meters of photovoltaic film project Hay:I04HZFS
Leading high-purity indium tin oxide (ITO) target manufacturer and supplier Hay:A01GXJL
HCFA: Hard Coat Anti-Fingerprint for Samsung Galaxy Fold
Precision aluminum alloy mobile phone case, superconducting high-strength wire and cable,high-precision aluminum alloy plate, aluminum alloy cable, aluminum alloy bar manufacturer and supplier Hay:A03SDCX
British Orbex company launched carbon fiber-reinforced aluminum-based composite rocket, which is 30% lighter than the same size model
The Great Stuff polyurethane foam sealant foam nozzles/feeder jointly designed by DuPont and spray feeder Lindal Group won the second design award
High precision magnesium aluminum alloy auto parts, Magnesium alloy LED display cabinet, Magnesium alloy radiator, Magnesium alloy stroller frame manufacturer and supplier Hay:T02HNWD
Materials for energy storage batteries, nano-lithium iron phosphate, carbon nanotube conductive fluid and carbon nanotubes manufacturer and supplier Hay:N01SZDF
Global glass fiber market will reach approximately $ 10 billion by 2014
Leading electromagnetic compatibility (EMC) shielding material, high thermal conductivity graphite material manufacturer and supplier Hay:F03BJZS
Ultrafine-grained hard tungsten-molybdenum alloy materials, tungsten oxide, high-purity tungsten powder, tungsten carbide powder, high-purity fine cobalt powder manufacturer and supplier Hay:A04XMWY
Facing novel coronavirus,The Chinese government encourages domestic protective clothing companies to produce and export medical protective clothing products, and supports other countries in resisting novel coronavirus
Linde forklift parent company KION Group announces joint venture with Chinese auto parts giant Weichai Power to build new plant
Global Top High Purity Quartz Sand Manufacturers and Suppliers
High-end quartz in optical applications: outstanding optical performance, used in astronomy, laser and other high-end optical devices
Tenneco develops innovative wear-resistant materials to reduce the dependence of heavy-duty turbocharger exhaust relief valve bushings, EGR valves and high-performance engine seats on cobalt materials
high-speed and heavy-duty precision gears,Large precision forged parts,Free forging products, die forging products, ring rolling components manufacturer and supplier Hay:T03JSJY
High-performance engineering plastics, nuclear radiation engineering plastics, graphene composites, 3D printing materials, and thermoplastic composites manufacturer and supplier Hay:C03ZHJE
How does Japan’s carbon fiber industry dominate the world? These 8 characteristics are worth learning from!
Leading graphite anode materials for lithium batteries, artificial graphite anode materials, silicon-based composite anode materials, new anode materials, anode materials manufacturer and supplier Hay:N01SZBT
Application status of carbon fiber composites in the automotive field
Ultra-light magnesium-lithium alloy, high-toughness magnesium alloy, high-strength heat-resistant magnesium alloy, ultra-thin magnesium alloy strip for audio manufacturer and supplier Hay:A03HTKG
Development of Japanese and American high-end carbon fiber composite manufacturers Inspiration for Chinese carbon fiber manufacturers
Nuclear grade zirconium rod, industrial grade zirconium alloy plate and strip, industrial grade zirconium seamless pipe manufacturer and supplier Hay:A01GHBT
Rare metal rhenium, its application in aero engine blade superalloy material and refractory metal tungsten molybdenum alloy
Wide and ultra-thin precision aluminum foil manufacturer and supplier Hay:A03SHSH
Application of alumina ceramics, silicon carbide ceramics, silicon nitride ceramics, and special technical ceramics in automobiles
Ultrafine (modified) heavy calcium carbonate, ultrafine (modified) barium sulfate, ultrafine (modified) talc, ultrafine (modified) kaolin, precipitated barium sulfate, light calcium carbonate, feldspar powder manufacturer Hay:B06JSQX
China’s high-end quartz material industry: high-end demand + Chinese quartz material manufacturers import substitution
Sintered/Bonded NdFeB rare earth permanent magnet material and magnetic components manufacturer and supplier Hay:F05NBYS
China’s SiC / SiC composite ATF fuel element: SiC / SiC composite cladding tube S key technology research breakthrough
Erickson’s Next Generation Composite Main Rotor Blade (CMRB) Receives FAA Approval
Rare earth hydrogen storage alloy materials, rare earth neodymium iron boron permanent magnet materials, rare earth metals, rare earth abrasive materials, rare earth light emitting materials manufacturer and supplier Hay:N01GSXT
4M Carbon Fibers Announces 15% Increase in Strength of Carbon Fibers
China leading ultra-high-voltage power cables, ultra-high-voltage submarine cables, low- and medium-voltage power cables, cables for electrical equipment, ultra-high-voltage wires, and power optical cables manufacturer and supplier Hay:F04JSHT
GM drastically cuts fuel car parts, transformation of traditional auto parts companies is imperative
High-performance modified plastic ABS、PC、PCABS、COC、PET、PPO、UHMW-PE、POM、PA6、PA66、TPU、TPE、TPV、TPX、PPS、PA6T、LCP、PPSU、PEI、PES、PEEK、TPI、PAI manufacturer and supplier Hay:C03SZOR
Polyphenylene sulfide fiber / PPS fiber,nylon fiber manufacturer and supplier Hay:D01SXYC
Britain’s National Composites Centre (NCC) introduces 10 state-of-the-art digital manufacturing technologies
Carbon fiber surface treatment technology
Food grade stainless steel sanitary pipes, thin-walled drinking water stainless steel pipes, boiler heat exchangers, stainless steel pressure pipes for condensation manufacturer and supplier Hay:A02FSGS
Aviation-grade carbon fiber and its composite material and its value chain
Stator and rotor stamping blades and iron cores for oil generators, wind turbines, medium and high voltage generators, rail motors, elevator motors, AC motors manufacturer is facing huge development opportunities Hay:U01CZSL
Mearthane Products Corp. (MPC) has acquired Polyurethane Moulding Industries (PMI) to expand the polyurethane and metal parts product portfolio
Application of carbon fiber composite materials in sports and leisure
Aerospace ultra-high temperature special cables, anti-electromagnetic pulse cables, low-smoke halogen-free special ship cables manufacturer and supplier Hay:F04HNHL
Global automotive carbon fiber reinforced fabric manufacturer Kümpers invests in high-performance composite materials project in China
The third generation semiconductor industry represented by GaN/gallium nitride is about to usher in an explosive period
zirconium-based liquid metal hinge applied to folding screen mobile Hay:H06DGYA
Chinese high-end engineering plastic liquid crystal polymer (LCP) manufacturer successfully develops 5G signal antenna insulation materials: LCP film and LCP fiber material Hay:C04NBJJ
Research status of steel and carbon fiber reinforced materials bonding
Bridge and building seismic isolation bearing manufacturer provide the world’s largest and most advanced High-damping rubber isolation bearings for the Hong Kong-Zhuhai-Macao Cross-sea Bridge project Hay:F01ZZSD
China leading engine crankshafts, automotive clutches, spiral bevel gears, precision forgings and high-strength bolts manufactuer and supplier T03GLFD
The development of aero engine, High performnce and high-temperature alloy blade is one of the key technologies
How to improve the high temperature resistance of composite materials, chemical structure and thermal stability of pigment pastes
Chinese leading manufacturer of superalloy master alloys for aircraft engines and gas turbines Hay:A04AHYL
Leading High-purity microcrystalline graphite, graphite electrodes, graphite sealing elements, oil drilling lubricants, carburizers / heating agents for steel mills, casting release agents manufacturer and supplier Hay:B06HNNF
China leading ultra-large-size high-precision photomask manufacturer/maker to build 6 high-generation photomask production lines Hay:I03SZLW
Leading Magnesium special refractories:Active a-alumina fine powder, artificial fused white alumina, fused synthetic spinel, fused high temperature binder, fused synthetic mullite / zircon mullite manufacturer and supplier Hay:B03HNTN
Chinese researchers make new breakthroughs in ultra-high strength metals
New materials with high temperature resistance, flame retardant and high insulation performance: aramid fiber, aramid paper manufacturer and supplier Hay:F03JSCM
BASF releases new expanded polypropylene foam Neopolen product, which provides a high-quality smooth surface
China leading aluminum based functional master alloys,Magnesium,Zinc and Copper Based Master Alloys,Metallographic Modifiers,Elements Additives manufacturer and supplier Hay:A03HBST
FCCL single and double sides polyimide films substrates,COF flexible encapsulation substrate manufacturer and supplier Hay:C04SZDB
Honda Technology Research Institute cooperates with Autodesk to create a lightweight engine crankshaft, reducing weight by 50%
Novelis’s proposed US $ 2.6 billion acquisition of Aleris has passed the antitrust review on condition that Novelis divested its car body business
Special nanowires developed by researchers from China, Russia and Germany can be used as transparent electrodes, and can be used for flexible electronics and solar products
Submarine cables, ultra-high voltage cross-linked cables, ultra-heat-resistant and high-strength aluminum alloy conductors, carbon fiber conductors, submarine optical fiber composite cables Hay:F04QDHL
Casting-temperature-pressure composite molding technology to prepare large-size alumina substrate
Chinese Rare Earth Hydrogen Storage Material Manufacturer Establishes R & D Center: Development of Rare Earth Lanthanum-Mg-Ni Based Hydrogen Storage Materials and Rare Earth Lanthanum-Yttrium-Ni Based Hydrogen Storage Materials Hay:N01YZHD
Henkel launched 5-30μm thin non-conductive chip adhesive film (DAF) LOCTITE ABLESTIK ATB 100MD8
China continuous fiber prepreg molding (PCM) technology company develops lithium battery composite box for lithium ion power battery giant CATL Hay:D02SZYJ
China leading graphene electric heating films, water-based graphene conductive ink, graphene water-based heat distribution coating manufacturer and supplier Hay:F03BJBX
Special nanowires can be used as transparent electrodes in flexible electronics and solar products
Special alloy and metal powder maker Carpenter Technology Corporation releases 2019 financial results: net profit of $ 167 million
Leading conductive charging connectors and industrial power connectors manufacturer and supplier Hay:N06SZYC
Adding titanium carbide nanoparticles and nano welding wire to solve super aerospace material 7075 aluminum alloy welding problems
Ultra-precision optical lens,high-definition laser display, high-end optical zoom lens, SLR camera lens, mobile phone camera lens leading manufacturer and supplier Hay:F06ZSLH
Chinese researchers are developing light liquid metals with a density lower than water: liquid metal composites
Pure titanium and precision titanium alloy products:seamless titanium tube, 3D printed titanium alloy powder, composite titanium plate, titanium alloy rod and titanium alloy disc Hay:A04JSTG
Tungsten powder products, cemented carbide pipes and rods and cemented carbide cutting tools leading manufacturer and supplier Hay:A04NCYZ
Do Face Masks Offer Protection From the New Coronavirus?
Ultra-precision, ultra-microporous metal alloy parts and components manufacturer and supplier Hay:T09SZHM
Lithium battery precision structural parts, automotive precision structural parts manufacturer invest CATL power lithium battery precision structural parts projects Hay:N04SZKL
Teijin Group’s carbon fiber reinforced thermoplastic (CFRTP) Sereebo® has been used in Panasonic’s 4K digital cameras HC-X2000 and HC-X1500
Showa Denko K.K., SDK develops SPALF aluminum-plastic composite film specifically for packaging electric vehicle soft pack lithium ion batteries
Application and development trend of high-strength aluminum alloy in automobile lightweight
Composite reinforcement expert Chomarat Group and Groupe Beneteau have developed a structural flow medium G-Flow 980L containing 100% glass fiber, which can be used to produce boats by resin infusion process
Precision battery cases and high-end battery precision components manufacturer and supplier to build new high-end precision metal new materials industrial park project Hay:T01GDZK
University of Queensland prepared gold-nickel nano-film materials for DMFC batteries to optimize catalytic activity and stability
Power cable,optical-electric hybrid cable and butterfly optical cable for 5G devices manufacturer and supplier Hay:F04JSZL
China graphene conductive materials, graphene thermal conductivity materials, graphene energy storage materials, graphene functional coatings manufacturer delivery 9 tons of supercapacitor graphene electrode material to Korean customers Hay:H02XMHL
Samsung solid-state battery technology published in Nature’s sub-issue, achieving key breakthroughs and promoting mass production
Super engineering plastics PEEK, PES and PPSU resin, high performance modified plastic and precision plastic products manufacturer and supplier Hay:C02CCJD
High performance modified plastics:polystyrene, polyolefin, polyester and polyamide manufacturer and supplier Hay:C03HFHT
Graphene carbon nano-electric heating films; artificial sapphire crystal materials; silicon carbide crystals and substrates; zirconia ceramic parts, high-purity silicon carbide fine powder manufacturer and supplier Hay:F03ZKGY
SK Comprehensive Chemicals completed the business of Arkema’s functional polymer business, and is abandoning ordinary chemicals and turning to a higher-end and more selective functional polymer business
Trelleborg Sealing Profiles Business Unit and its partner Magna provide new lightweight valve cover seals to create a brighter future for automotive lightweighting. Trelleborg provides more than just seal extrusion.
Application of magnesium alloy castings and forgings in the automotive industry
Highly conductive shape memory polymer composites and its new progress in 3D printing
Posco Chemical announces investment of $ 178 million to produce graphite anode materials for electric vehicle batteries
The disruptive carbon nanotube modified polymer developed by British engineering material manufacturer Trelleborg Applied Technology solves the radar interference problem of wind farms
Trelleborg Supplies Boeing with Component for 3D-Printed Face Shields
TBM Co., Ltd begins selling LIMEX products to China, reducing use of petroleum-based raw materials and replacing plastics
LANXESS ‘Tepex dynalite continuous fiber-reinforced thermoplastic flame-retardant composite material is very suitable for structural parts and housing parts of high-voltage batteries for electric vehicles
The high-end automotive high-impact impact polypropylene developed by Chinese polymer technology enterprises has the advantages of low odor and is suitable for large-scale parts, thin-wall products and plastic modification Hay:C02ZHSH
China heat-resistant, corona resistant and high thermal conductivity Magnetic Wire leading manufacturer and supplier Hay:F05SZJF
Bio-based succinic acid,Bio-based polybutylene succinate PBS biodegradable plastic,Bio-based sodium succinate(WSA),Bio-based 1,4- butanediol(BDO) leading manufacturer and supplier Hay:C03SDLD
The leading pure titanium and titanium alloy supplier Hay:A04SXBT
Insight:High-purity sputtering targets for coating in the field of integrated circuits: aluminum targets, titanium targets, copper targets, tantalum targets, tungsten-titanium targets
China COMAC Civil aircraft C919’s 3D printing titanium alloy powder and precision 3D printed parts leading manufacturer and suppliers are expected to break 200 million in revenue in 2019 Hay:H01WXFE
Clariant’s new masterbatch series debuts to meet automotive FAKRA connector production requirements
Precision mold and precision metal stamping/machining parts and components leading manufacturer and supplier for mobile devices, smart electronics devices and consumer electronics devices Hay:T01SZXH
Cable protection system, air conditioning ventilation products for Rail transportation industry leading manufacturer and supplier Hay:F04JSBD
China leading reflective films, backplane base films, optical base films and other special functional films manufacturer and supplier`s optical base film sales increased by 200%, Hay: F06NBCY
Korea Carbon Concentration Technology Institute and DACC Carbon cooperate to promote localization of ceramic fibers
Germany Vacuumschmelze GmbH launched an amorphous copper-based brazing foil made of Vitrobraze material, which helps to improve the thermal performance of copper radiators
China stainless steel pipes and special alloy pipes leading manufacturer and supplier actively develop markets in the fields of high-end equipment manufacturing, aerospace, nuclear power and new materials A02ZJJL
The tensile modulus of PAN-based ultra-high modulus carbon fiber developed by Chinese carbon fiber technology enterprise has steadily exceeded 610GPa Hay:D02NBCL
Polylactic acid bio-based fully degradable materials, bio-based nylon materials and biomass lightweight materials:PP, ABS, PA6, PA66, POM, PLA, PPS, PPO, PI, PEEK, plastic alloy 11 manufacturer and supplier Hay:C03HLXD
China’s halogen-free flame-retardant engineering plastic nylon manufacturer’s optical cable sheath material and optical cable polymer materials have a market share of more than 30% Hay:C03ZHJE
Tesla’s Chinese lithium battery separator supplier will raise 5 billion yuan, adding 920 million square meters of battery separator capacity Hay:N02SHEJ
Chinese researchers break through Lightweight Liquid Metal Entity technology
Manufacturer of magnesium alloys, aluminum titanium alloys, aluminum titanium boron, aluminum strontium alloys have successfully developed rare earth magnesium alloys according to customer requirements Hay:A03NJYH
Chinese researchers found that Mg-Al magnesium aluminum alloys are aged first and then deformed, which can improve the performance of magnesium aluminum alloys!
China Silicon carbide fine powder Technology Group plans to build high-performance silicon carbide ceramic heat exchange tubes production base Hay: B03PMSM
Lithium battery precision structural parts and Precision casting structural parts and components leading manufacturer and supplie signs supply contract with lithium battery manufacturer Northvolt to supply square precision lithium battery case Hay:T02SZKD
Metal spherical powder and wire: titanium alloy powder, high-temperature alloy powder material, isostatically pressed powder products: engine blades, high-temperature alloy powder disk leading manufacturer and supplier Hay:H01XAOZ
Aluminum honeycomb core, stainless honeycomb core, honeycomb board, honeycomb cardboard, honeycomb paper pallet, fireproof honeycomb paper core, aramid honeycomb core paper, as well as wave-absorbing honeycomb core manufacturer and supplier Hay:D02ZJTL
China mobile phone protective shells, tablet computer protective shells, and precision structural parts manufacturer and supplier for 3C products Hay:T07SZJM
China rare earth concentrate, Rare earth oxidants, Rare earth compounds, Rare earth metals, Rare earth metallurgical materials, Rare earth catalysts, zircon sand, titanium concentrate, rutile leading manufacturer and supplier Hay:A06SCSH
China Non-equal speed transmission/drive shafts leading manufacturer and supplier for all vehicles and construction machinery except cars Hay:Q02HNYD
China’s leading manufacturer of cast high temperature corrosion resistant alloys and special alloy welding materials Hay:A04SHZZ
Arris Composites, a carbon fiber composite additive manufacturing solution provider, received $ 48.5 million in Series B financing to accelerate continuous carbon fiber composite 3D printing production
Titanium Metal Injection Molding parts supplier Hay:T09SZZN
Precision powder metallurgy components, High Temperature Alloy/Superalloy Precision Casting Parts and Components, gas turbine turbine blades leading manufacturer and supplier Hay:T02BJHC
Graphite oxide, conductive and thermally conductive graphene, enhanced graphene, heavyene anticorrosion coating, graphene oxide dispersion, graphene conductive paste leading manufacturer and supplier Hay:H02CZDL
Reflective Cloth, Reflective Film, Reflective Processed Goods, High Refractive Glass Beads leading manufacturer and supplier Hay:F06ZJYS
China Ultra-thin lithium battery copper foil leading manufacturer invest in the construction of an annual output of 15,000 tons of 5μm and 4.5μm ultra-thin lithium battery copper foil projects Hay:A03GDJY
FPC Laser cutting, structuring, micropore drilling for flexible circuit board, Cover layer cutting for flexible PCB, LTCC low temperature Co-fired ceramic circuit board cutting and drilling parts leading manufacturer and supplier Hay:T09SZGY
Enamel decorative material panel, desulfurization and denitrification industrial protection enamel material for power plant, enamel decorative steel plate leading manufacturer and supplier Hay:K01ZJKE
China precise optical components and parts,lenses,light engines,X-cube,TIR prisms,projectors,video walls,surveillance systems, elevators and electrical parts leading manufacturer and supplier Hay:F06HNZG
The world’s largest aluminum grain refiner and potassium fluoroaluminate manufacturer and supplier Hay:A03SZXX
China high-performance copper and nickel alloy tubes, high-efficiency heat transfer tubes, high-temperature alloys/SuperAlloy, corrosion-resistant nickel base alloy tubes, rods and plates manufacturer and supplier Hay:A04JSLD
China’s precision crankshaft manufacturers have developed ultra-high strength ductile iron materials with tensile strength exceeding 1000MPa, suitable for lightweight crankshafts with hollow and small overlapping surfaces Hay:T02GXYC
Hydrogen fuel cell membrane, a high-performance fuel cell proton membrane made by the world’s leading supplier of hydrogen fuel cell proton membrane, has been used in the first mass production of fuel cell vehicles by Mercedes-Benz and Ford Hay:N02SDDY
China leading functional BoPET film:Film for solar cell backsheet, Optical film, Film for electrical insulation, Film for electronic, Film for heald, Film for heddle, Film for sequins, Film for printing manufacturer and supplier Hay:C04JSYX
Wire Arc Additive Manufacturing (WAAM) Composite Manufacturing Process technology
China leading Alexandrite,KTP crystal,Nonliner Crystals,Q-Switch.NLO Crystal, Laser Crystal, Laser Optics Thin Film Polarizers, Laser Optics manufacturer and supplier Hay:F06QDHT
Graphene powder & slurry, carbon nanotube powder & slurry, graphene heating film slurry, electromagnetic shielding / antistatic composite material, lithium ion battery graphite Anode materials, silicon carbon anode materials manufacturer Hay:H02GDYN
Global leading multi-layer PC/PMMA film,optical compensation films and OLED antireflective films manufacturer and supplier Hay:C04SCLH
China Vanadium Titanium Special Steel Group provides titanium-containing special high-strength steel materials to solar tracking and fixed support system solution providers
China leading flame retardant and fast curing prepreg epoxy resin for composite materials, epoxy resin for adhesion, sealing and coating of electronic components manufacturer and supplier Hay:D11SHHB
ESD ultra-clean and contamination control product, Antistatic / Cleanroom Garments, Antistatic / Cleanroom Shoes, Clean Gloves, ESD Control Wrist Strap leading manufacturer and supplier Hay:F04SZTH
US Army researchers explore carbon nanotube composites for unmanned vehicles
The leading manufacturer and supplier for DJI unmanned aerial vehicles/UAV Carbon Fiber Composite Material Parts Hay:D02JFKJ
The demand of pure titanium and titanium alloy TC4 (Ti-6Al-4V) bar products by Chinese leading titanium alloy manufacturers and suppliers on the mask earband ultrasonic welding machine are booming Hay:H04BJTL
Electromagnetic shielding, electrical insulation, and thermal management composite material manufacturers develop graphite-based elastic heat transfer components for Tesla Hay:F03JSSD
Super hard material products, wear-resistant material products, silicon carbide grinding tools, ceramic and diamond and CBN grinding wheel leading manufacturer and supplier Hay:T10GDXJ
China’s carbon fiber composite materials technology manufacturer and supplier are moving toward to the world’s leading position for the lightweight and high peformance carbon fiber composite materials and parts in global markets Hay: D02CZAT
China leading high-strength intelligent rubber conveyor belt manufacturer and supplier Hay:C05WXBT
China’s precious metal materials: precious metal precision alloys, precious metal composite/clad materials, electrical contact materials, key alloy wire manufacturers have increased revenue in 2019 Hay:A01YNGY
China leading BOPA Packaging film, BOPP Drinking Straw Package, Laminated AL Film, Electric & Optical Material, BOPP Capacitor Film, Polarizer Film,Lithium battery aluminum plastic film manufacturer and supplier Hay:C04FSFS
Application of Carbon Fiber Reinforced Polypropylene and PA6 / Nylon 6 in Carbon Fiber Reinforced Polymer, CFRP
Heraeus joins TRUMPF to expand new applications for industrial-grade 3D printing of amorphous metals
China Leading precision optical components:Precision Prism, Coating products, Plate, Filter, Lenses, Lens sets, Code wheel manufacturer and supplier Hay:F06HNKX
The transparent quartz base materials (tubes, rods, mounds) of Chinese quartz technology companies have passed the official certification of Tokyo Electronics Co., Ltd. (hereinafter referred to as “TEL”) Hay:B04JSTPY
Chinese nano-powder material fumed silica manufacturer masters core technology to break foreign monopoly, won first prize for scientific and technological progress Hay:B04HBHF
Vacuum insulation panel manufacturer invests in ultra-low thermal conductivity vacuum insulation panel project Hay:F03FJST
China leading precision machining, precision die castings: precision linear guide rail sliders, Electronics high precision parts and components, servo motor spindles, car seat belt shafts manufacturer and supplier Hay:T02SDFG
China lined or PE coated GAL pipe, Epoxy Primer Pre-coated Hot-dip Galvanized Pipe (Painted Pipe for short), helical submerged-arc welded pipes (SAWH), high frequency welded pipe (HFW), FBE / 2PE / 3PE coated pipe manufacturer and supplier Hay:A02ZJJZ
Chinese researchers successfully developed graphene aerogel
China leading micro-motor,micro stepping motor,screw linear stepper motor,synchronous motor,DC brush motor, DC brushless motor, micro water pump manufacturer and supplier Hay:U01JSLL
Chemical Mechanical Polishing / CMP polishing liquid for Microelectronic, Photoresist and Residue Removers manufacturers sales have increased significantly, Model of domestic substitution of semiconductor materials for import Hay:I03SHAJ
American 4M Carbon Fiber Company announced the development of 10K carbon fiber with a monofilament diameter of 9.6μm
New materials on the modern battlefield: advanced and high-performance materials such as rare earth alloys, carbon fiber composite materials, additive molding technology and polymer materials
Another important discovery of the stability of submicron pure copper nanocrystalline materials
China leading copper and copper alloy precision plates, strips, foils, tubes, rods, profile, aluminum magnesium plate strips manufacturer and supplier Hay:A03ZLLY
Glass microfiber filtering and VIP supplier of Panasonic and LG Hay:F07CQZS
Nano-composite technology developed by Chinese manufacturer improves the abrasion resistance of nano-abrasive plastic polymer by nearly 100 times Hay:C03JSFD
POE film, EVA solar cell film, photosensitive dry film, flexible copper clad laminate, aluminum-plastic composite film manufacturer and supplier Hay:C04HZFS
Precision ceramics, zirconia ceramic cover plate manufacturer to invest in the construction of special ceramic materials and products, electronic structural ceramic products, quartz crucible projects Hay:B01SZSL
China rare earth alloys and rare earth metal materials: rare earth metal neodymium and praseodymium-neodymium alloy manufacturer has successfully developed new rare earth metal electrolyzers Hay:A06BTRX
China leading carbon fiber composite materials,carbon fiber prepregs manufacturer and supplier invests in new production lines Hay:D02WHBW
Carbon fibre reinforced polymers CFRP composites parts and componnets, carbon fiber fabrics, carbon fiber prepreg manufacturer and supplier Hay:D02SHJF
China leading stainless steel base composite board, titanium alloy base composite board, aluminum base composite board, copper base composite board manufacturer and supplier Hay:D04TGJT
Porous metal filter material:stainless steel, high temperature alloy, FeAl cermet and titanium alloy powder, metal fiber filter felt, laminated metal wire filter, porous metal filter plate manufacturer and supplier Hay:F07GYKJ
China leading rubber composites, rubber nanomaterials manufacturer provided the anti-corrosion Elastomeric Cable Wrap System for the main cable of China’s super suspension bridge Hay:C05BJXJ
China low voltage graphene carbon nanotube electrothermal film material, silicon carbide crystal substrate manufacturer invests in the construction of a new research and development production base Hay:F03ZKGY
China Titanium Alloy Precision Parts Supplier Hay:A04SXBT
Vacuum insulation material VIP / STP core material, ultra-high modulus glass fiber, coated glass fiber cloth, low dielectric glass fiber, high temperature filter bag impregnated cloth, new manufacturer’s production base put into operation Hay:D01CQGJ
Epitaxial nucleation and lateral growth of high-crystalline black phosphorus films on silicon
China achieves commercial production of dry-jet wet-spun T1000 grade ultra-high-strength carbon fiber Hay:D02JSZF
China glass fiber, carbon fiber and metal fiber reinforced thermoplastics: polypropylene (PP), nylon (PA6, PA66 & HTN), thermoplastic polyurethane (TPU), thermoplastic polyester (PBT, PET), Polyphenylenesulfide (PPS) manufacturer and supplier Hay:C03ZJNY
China leading scintillation crystal materials: sodium iodide, lanthanum bromide, cesium iodide, BGO scintillation crystal manufacturer and supplier Hay:F06BJZC
China carbon nanotube fiber, carbon nanotube film, carbon nanotube powder, carbon nanotube conductive paste manufacturer released carbon nanotube ultra-black coating Hay:H02SZXW
China Special Ceramics Research Institute has made important progress in the research of Terbium aluminum garnet (Tb3Al5O12, TAG) transparent ceramics Hay:B01SHGJ
China leading Soft magnetic core,Soft magnetic alloy,Permanent magnet alloy, Amorphous ultramicrocrystalline alloy strips manufacturer and supplier Hay:F05BJBY
Metal precision structural parts, plastic precision structural parts, sight glass protective screens, touch screens, touch display modules manufacturer and supplier Hay:T01ZJXX
New progress in vanadium flow battery research: Chinese researchers develop Nafion / TiO2 nanotube composite membrane
China leading digital textile, ceramic ink,UV curable ink, architectural decorative ink, glass ink, special ink manufacturer and supplier Hay:S07ZHTW
Orthopedic implants, orthopedic 3D printing implant manufacturers acquire libeier, an orthopedic implant and surgical instrument company owned by Medtronic Hay:P01BJAK
China leading Honeycomb Ceramics,High Temperature Ceramic Membrane Filter Tube,ZrO2 Ceramic Sulfuric Acid Absorption Tower,Inorganic ceramic membrane manufacturer and supplier Hay:B03JSFJ
China Zirconia/ZrO2 ceramic precision parts: ceramic fingerprint identification chip, ceramic mobile phone back, ceramic itelligent watch parts, ceramic ball valve, magnetic core manufacturer and supplier Hay:B01DGXB
Metal-based ceramic composite materials: silicon carbide particles reinforced aluminum-based composite materials, silicon aluminum composite materials manufacturer and supplier Hay: F05ZHKL
Capacitor film, solar cell backing film, optical film, lithium ion battery separator manufacturer successfully developed a new generation of ultra-thin lithium battery separator Hay:N02ZJHT
China leading titanium, Ni-based superalloys, Duplex and Austenitic stainless steels, Binary alloys, Cobalt alloys, Copper alloys, Diamond catalyst alloys powder manufacturer and supplier Hay:H01RDST
Medical / Industrial grade metal / Titanium powder, High-purity titanium powder and titanium alloy powder, Cobalt-chromium alloy powder, nickel-based, cobalt-based, metal power for 3D Printing manufacturer and supplier Hay:H01CDYC
Global leading Hexagonal Boron Nitride (hBN) powder,Machinable Boron Nitride Ceramics,Boron Nitride Lubricant Additives manufacturer and supplier Hay:B01FRSG
Rare earth magnesium alloy manufacturer developed rare earth magnesium zirconium grain refiner Hay:A03BTXT
Chinese manufacturer of shape memory polymer Shape Memory Polymers has developed a shape memory polymer composite structure material Hay:H05HEHG
Global leading titanium alloy bar,fine wire for medical industry: Joint Replacements( Hips, Knees, Shoulders),Dental Implants,Trauma Applications – Bone Plates and Screws,Fracture Fixation,Surgical Instruments manufacturer and supplier Hay:P01USPE
Global leading powder Metals: nickel, cobalt, iron, copper and titanium alloys, niobium and zirconiumrefractory alloys for 3D Printing / additive manufacturing manufacturer and supplier Hay:H01USAT
Chinese microcrystalline steel / super steel plate strips, rods and wires manufacturer`s ultra-high-strength steel capacity exceeds 1.1 million tons Hay:A02SHBG
Chinese researchers have developed lightweight, high-strength nano-cellulose biomimetic materials
China leading Metal Injection Molding / MIM precision parts and components, Ceramic Injection Moulding / CIM products, Smart wear precision structural parts manufacturer and supplier Hay:T05DGHJ
China leading rare earth permanent magnet materials: Sintered & Bonded Nd-Fe-B Magnets, Tungsten Alloy, Injection Nd-Fe-B Magnet manufacturer and supplier Hay:F05ZJYL
Fraunhofer, MAHLE Group, Daimler Automotive, SHPPP / Vyncolit and The Georges Pernoud Group jointly develop plastic camshaft modules to make car engines lighter and more environmentally friendly
The magnesium-lithium alloy developed by China’s leading manufacturer of magnesium-lithium alloys, magnesium-aluminum alloys and precision alloy structural parts is used in Chinese high-orbit satellites Hay:A03XASF
Special steel: Bearing steel, gear steel, steel for construction machinery, high-strength corrosion-resistant special steel manufacturer and Institute of Metal Research, Chinese Academy of Sciences jointly develop rare earth steel Hay:A02SDXW
China leading aero engine compressor blades,engine rotating parts and structural parts, medical orthopedic implant forgings manufacturer and supplier plan to IPO Hay:T03WXHY
China Polyimide (PI) nanofiber membrane,Polyimide / graphene composite nanofiber aerogel and foam filter materials,Polymer nanofiber and carbon nanofiber and their nanofiber battery separator manufacturer and supplier Hay:F07JXXC
The world’s largest diameter stainless steel Seamless Ring Rolling Forgings manufacturer once again provides precision core forgings to the fourth-generation nuclear power sodium-cooled demonstration fast reactor Hay:T03SDYL
Low-temperature superconducting materials industry development status
Raw materials for the electronics industry: high-purity sputtering target industry development status
High-purity targets for solar photovoltaic cells
China leading optical fiber and cables, special cables, submarine cable manufacturer has launched a number of demonstration projects of superconducting cables and superconducting current limiters using high-temperature superconducting strips Hay:H07JSZT
The High thermal conductivity graphite sheets,Multilayer high thermal conductivity graphene film produced by Chinese leading manufacturer of FCCL,FPC,COF are mainly used for mobile phone and notebook manufacturers such as Apple,HP,Dell,Huawei Hay:H02SZDB
The market share of GH4169 nickel-based superalloys produced by manufacturer of superalloys, aluminum, magnesium and titanium alloys ranks first in the industry Hay:A04BJGY
The application of carbon fiber composite medical bed board in the field of radiology
Information and electronic materials: development status of integrated circuits and semiconductor materials, optoelectronic materials, and new electronic component materials
GKN Additive Manufacturing uses 3D printing to reduce hydraulic module weight by 80%
The development trend of medical grade polymer market in the next decade
China leading ultra-long, urea stainless steel pipe and tube, duplex stainless steel pipe, nickel-matrix composite pipe manufacturer and supplier Hay: A02SHBG
Pure Terephthalic Acid / PTA chemical industry titanium alloy material usage continues to rise
China leading differential case assembly, bracket assembly, gearbox parts, spacer, bearing cover, inter-axle differential lock, control mechanism assembly for automotive manufacturer and supplier Hay:Q02JSHY
China leading precision hydraulic parts and componnets: hydraulic valves, pump / motor components and other hydraulic parts, auto parts and custom precision parts manufacturer and supplier Hay:T09ZJDS
China top aluminum-magnesium special light alloy material manufacturer develops high-strength light alloy shell for Changzheng No. 5 B launch vehicle Hay:A03DBQH
China leading polyvinyl chloride (PVC) belts,Polyurethane (PU) belts,Polyethylene (PE) belt,Polyester plastic (Hytrel) belt, Silicon belt, Flame retardant belt, high temperature belt, Anti-abrasive belt manufacturer and supplier Hay:C05SHYL
Leading precision automotive die parts and components: press die components, guide components, Cam Units, Marking Units, Urethane, precision medicine equipment parts and components manufacturer and supplier Hay: T10KSYD
5G communication equipment materials: PTFE, LCP/Liquid Crystal Polymer, electromagnetic shielding film, graphite heat sink
Nanoscribe, a German manufacturer of high-precision two-photon micro-nano 3D printing equipment, is actively exploring the Chinese market
global leading precious, special precision metals manufacturer Heraeus introduces a new generation of copper-clad CucorAl Plus for power electronics
China vigorously develops high-performance magnesium alloy industry
The biodegradable magnesium bone fixation screw produced by China leading manufacturer of degradable “high purity magnesium” bone nails has obtained CE certification Hay:P01DGYA
Seoul Semiconductor has achieved commercial production of Micro LED
Ferromagnetic liquid metal putty-like material with transformed shape and reconfigurable polarity
Continuous carbon fiber reinforced thermoplastic prepreg tape and melt impregnation method
Volkswagen engines VW EA888 Gen3,VW EA211,VW EA288 main bearing cap, Mercedes-Benz commercial vehicle air compressor crankshaft manufacturer and supplier Hay:Q01HBTX
China leading Ultra-fine alloy electromagnetic wire manufacturer developed wireless charging magnetic isolation material Hay:F05ZJDN
High-strength aluminum alloy extruded profile manufacturer developed “wide-width multi-cavity thin-walled profile”, “high-strength aluminum alloy bar” and “cutting bismuth-containing aluminum alloy” technology patented Hay:T06GDHM
Ultra-high-purity rare metal and compound, rare earth functional material manufacturers invest 1 billion yuan to establish R & D centers and production bases Hay:A06BJYY
Bulletproof ceramic materials: alumina, boron carbide, silicon carbide, silicon nitride, fiber toughened ceramic composites
High thermal conductivity graphite heat dissipation material, high thermal conductivity graphite film manufacturer layout liquid metal, 3D glass, ceramic back and other projects Hay:F03JSTY
German research team reveals amorphous alloy / Liquid metal / metal glass formation process at the atomic level, Creating new materials may be easier
Chinese researchers released the latest research results of magnesium alloy non-coherent tilted grain boundaries
China NBCL Carbon Fiber Technology Group’s high-performance carbon fiber composite technology status and main products Hay:D02NBCL
Kiel University develops the ultra-light material Aerobornitride, which is almost composed of air. It has a high light scattering rate and can be used for automotive headlamp
Selective doping of double-walled carbon nanotubes (DWNTs) with boron to improve conductivity
Australian research institute CSIRO Lab22 Additive Manufacturing Laboratory has made new progress in the research and development of 3D printing of nickel titanium alloy self-expanding vascular stent
PolyOne’s new BERGAMID polyamide improves the welding strength and aesthetics of laser welded parts
Chinese researchers have developed magnesium-nickel titanium bionic composite material with high damping, high energy absorption and shape memory
Solvay and Boeing work together to develop new composite materials to allow aircraft to bid farewell to the metal age
Dynamic Capillary-Driven Additive Manufacturing of Continuous Carbon Fiber Composite
Robust Biomimetic Hierarchical Diamond Architecture with Self-cleaning, Antibacterial and Antibiofouling Surface
Introduction of MIM (Metal Injection Molding) process technology
Microporous ceramic filter materials: high-temperature ceramic membranes and dust collectors, ceramic flat membranes and components, denitrification and dust removal ceramic membranes, solid-liquid separation tubular ceramic membrane filters Hay:F07SDGT
Chinese researchers have made progress in the study of fatigue properties of titanium alloy Ti-6Al-4V additive manufacturing
China leading quartz fiber manufacturers developed synthetic quartz photomask substrate for optics Hay:B04HBFL
MakerBot, a subsidiary of 3D printing expert Stratasys, introduces METHOD carbon fiber reinforced nylon material to replace metal parts
China leading precision connectors, shields, heat sinks, supports, housings and regulators manufacturer and supplier Hay:T01NBXR
TZP, ZTA ultrapure zirconia toughened alumina ceramic cylinder liner, piston, plunger, liner manufacturer successfully developed high pressure, wear resistance, corrosion resistance, high strength composite zirconia ceramic cylinder liner Hay:B03HFJC
Chinese leading high-purity stainless steel manufacturer completes delivery of high-end stainless steel 316H for China nuclear power fast reactor Hay:A02TGJT
China leading hydraulic Cylinders, Pumps, Valves, Piston Motors, Cold-drawn Seamless Tube, High Precision Casting parts and components manufacturer and supplier Hay:T02JSHL
China Basalt Fiber Technology Co., Ltd. and China Basalt Fiber Materials Research Institute Sign Strategic Cooperation Framework Agreement Hay:D01ZJJS
Continuous fiber and composite materials (FDM + CFF process) 3D printing technology
Strengthening and Toughening Mechanism of Aluminum Lithium Alloy (Al-Li Alloy)
Chinese researchers successfully developed expandable flexible solar cell system based on shape memory polymer intelligent composite material structure
Application of high-performance carbon fiber composite materials in textile machinery
China leading high purity carbon powder for silicon carbide single crystal, high purity carbon fiber insulation material, high purity SiC coating material, high purity TaC coating material manufacturer and supplier Hay:B06HNDL
China leading rare earth magnesium alloy die-cast precision castings: rare earth magnesium alloy gearbox body, automobile engine box body, wheel hub, communication equipment shell, wind power blade manufacturer and supplier Hay:A06BTKS
ZF Friedrichshafen AG completes US $ 7 billion acquisition of WABCO
Introduction of superalloy, high temperature alloys: characteristics of high temperature resistant metal materials
China leading CNC precision metal parts, nano-level precision Injection Moulding, optical communication plastic optical lenses, precision ceramic junction Zirconia Sleeve components manufacturer and supplier Hay:T09SZTF
ILEWG and Teijin Aramid reach a new partnership to develop innovative spacesuits
Electrical hollow copper conductors:water cooled conductors, hollow wire, hollow tube, hollow magnet wire, square copper tube, profile tube, rectangular copper tubing, tubular bus conductors,induction coil tubing,induction heating tube Hay:F04UKLT
The heat dissipation technology solution continues to upgrade, and the market scale in the 5G era is expected to exceed 200 billion yuan
Graphite film heat dissipation material: the mainstream material for heat dissipation solutions, China’s technology is mature and stable
The cathode aluminum foil production line invested by the Chinese anode electrolytic foil manufacturer for aluminum electrolytic capacitors is officially put into production Hay:A03FJYD
The leading 7050 aluminum alloy manufacturer for the aviation industry Hay: A03ZLXN
Makerbot launches METHOD carbon fiber 3D printer
Aluminum alloy precision die-casting parts and components for 5G communication filter, automotive,high-speed rail, aviation manufacturer`s new production base is put into operation Hay:T02JSRY
China manufacturer of high-temperature alloys, ultra-high-strength steels, stainless steels, tool steels, titanium alloys, alloy structural steels, and high-speed tool steels have developed Φ365mm large-size 316H stainless steel bars Hay:A02FSTG
Low-cost application technology of carbon fiber composite materials in the automotive field
Tantalum target technology developed by the manufacturer of High Purity Aluminum Targets, High Purity Titanium Targets, High Purity Cu Targets, High Purity Tantalum Targets won the provincial science and technology innovation gold award Hay:A01NBJF
China leading sintered,bonded NdFeB magnet,soft ferrite,amorphous alloy materials,nanocrystalline soft magnetic material manufacturer and Hitachi Metals cooperate to produce super magnets Hay:F05BJZK
China leading manufacturer of precision copper tape, copper conductor material and copper alloy wire invested in the construction of a high-precision copper alloy wire project with an annual output of 20,000 tons Hay:A03AHCJ
DSM launches UHMWPE fiber product Trosar™ high-performance fiber
Evonik CALOSTAT® building insulation sandwich panel wins the German Innovation Award in 2020
Chinese and American researchers develop self-healing energy-absorbing shock-absorbing materials: based on the nickel-titanium alloy framework, construct a high-damping “magnesium-nickel titanium bionic composite material”
China leading Protective film,Cushioning materials,Shielding / conducting materials Hot melt adhesive,Thermal / optics materials,Acoustic sensing materials,Wireless transmission devices manufacturer and supplier Hay:F05SZHM
China’s third-generation semiconductor material gallium nitride helps power semiconductor breakthrough, won innovation award
China leading infrared cut-off filters (IRCF), biometric filters manufacturer invested in the construction of blue glass infrared cut filters and biometric filters production expansion project” and “R&D center” project Hay:F06HBWF
China leading high-purity germanium dioxide, germanium single crystal and wafer, high-purity gallium arsenide single crystal and wafer, indium phosphide single crystal and wafer manufacturer and supplier Hay:I04YNZY
China leading precision structural parts for consumer electronics: precision molds, precision optical glass lens, magnesium alloy precision die-cast parts, MIM parts, precision machined parts manufacturer and supplier Hay:T09GDJS
Global leading Beryllium metals,acoustic beryllium foil, Beryllium diaphragm assemblies,Ultra-High Vacuum (UHV) Beryllium components,Beryllium X-Ray window,X-Ray Beryllium foil manufacturer and supplier Hay:A03USMA
China leading Fiberglass Reinforcements Composites, carbon fiber composite materials, lightweight Foam core material, Thermoplastic Composite manufacturer and supplier Hay:D02ZJLY
The global unmanned system composite materials [carbon fiber reinforced polymer (CFRP), glass fiber reinforced polymer (GFRP), aramid fiber reinforced polymer (AFRP) and boron fiber reinforced polymer (BFRP)] market size will reach 27 One hundred million
US researchers build carbon fiber thermoplastic composite aircraft fuselage for future urban air traffic
Chinese carbon fiber composite material manufacturer and Chinese shipping department jointly develop carbon fiber composite material navigation mark Hay:D02JSZF
China leading reverse balance flange, prestressed anchor bolt assembly, Prestressed Anchor new beam-slab foundation combination, The longitudinal flanges points tablets tower manufacturer and supplier Hay:U07JSJH
The high heat resistance and high mechanical properties of PMI foam materials produced by polymethacrylimide (PMI) foam sheet manufacturers have become the most ideal core material for carbon fiber reinforced composite materials Hay:C03HNZH
China Top Free cutting beryllium copper alloy C17300/AM137 Rod manufacturer and supplier Hay:A03ZZAM
3D Systems releases a new batch of plastic 3D printing materials: Figure 4® RUBBER-65A BLK, Accura® FidelityTM, Accura Bond, Accura Patch and Figure 4 JEWEL MASTER GRY
China Aerospace Material Technology Corporation uses high temperature resistant phthalonitrile material and nearly one hundred layers of reinforced fabric to make high temperature resistant polymer composite protective board Hay:F03ZGHT
SAMSUNG PARTNERS WITH CORNING TO PRODUCE UTG GLASS FOR FOLDABLE SMARTPHONES
Chinese scientific research team successfully developed flexible graphene lithium battery
Chinese researchers successfully prepared MoCrFeMnNi and TiCrFeNiMn High-entropy alloys
China large size casting parts and components: Wind Power Hub, Main frame & bases, Gear box, bearing housings, wind power Portador, Rotation shaft, Brake disc for megawatt wind turbines manufacturer and supplier Hay:T02ZJJL
China leading lithography machine dual workpiece stage, ultra-precision parts manufacturing, Advanced Precision Motion Control System, Precision Motion Stages, Electrostatic chucks manufacturer and supplier Hay:T09BJHZ
Chinese special glass: ITO conductive film glass, TFT-LCD high-generation liquid crystal glass substrate, ultra-thin electronic touch glass, copper indium gallium selenium thin film solar power glass and cadmium telluride power glass Hay:B04AHKS
China leading large size precision castings, precision machined parts: wind power castings, high-speed rail castings, machine tool castings manufacturer and supplier Hay:T02SDGC
China leading nuclear grade zirconium, nuclear grade hafnium, hafnium-free nano-grade zirconia, zirconium alloy, hafnium alloy manufacturer and supplier Hay:A04SHHF
Composites manufacturer Fibreworks Composites establishes Composite Factory, which will focus on manufacturing complex parts and components of carbon fiber composites for aerospace and defense applications
Toray high tensile modulus carbon fiber and thermoplastic particles can be used to produce lightweight parts
Application of carbon fiber composite materials in the field of Unmanned aerial vehicle:UAV
Chinese vacuum insulation material VIP/STP core material manufacturer and Covestro and KraussMaffei jointly develop glass fiber reinforced polyurethane thermal insulation energy-saving window materials Hay:K06CQGJ
China leading nano-alumina microporous insulation board, insulation board manufacturer and supplier Hay:F03NTJS
China`s leading stainless steel precision strip steel, precision stainless steel wire manufacturer successfully developed nickel-based high-temperature corrosion-resistant alloy welding material Inconel625 (UNS N06625, 2.4856) Hay:F01TGBX
Chinese superalloy and special forging manufacturer jointly develop and successfully transform deformed superalloy GH4706 forged turbine wheel for gas turbine engines Hay:A04FSTG
UBE`s 1,000th UBE [UB530iS2] cold servo cold chamber die casting machine was delivered to China`s precision aluminum auto parts manufacturer for the production of automotive transmission oil block valve
China leading PI cover film, Copper clad soft substrate, Lithium battery aluminum plastic film, FFC, LED flexible PCB, LED light strip flexible PCB manufacturer and supplier Hay:I04GDLE
Chinese electromagnetic wire manufacturer and Rea Magnet Wire Company Inc established electromagnetic wire research center to jointly develop flat electromagnetic wire, low temperature enamelled round copper electromagnetic wire Hay:F05AHJD
China leading Anodic alumina coating plate, Brushed aluminum plate, Embossed aluminum plate, Sand finish aluminum plate, Mirror finish aluminum plate, Aluminum honeycomb panel manufacturer and supplier Hay:A03FSTL
The ultra-thin flexible copper clad laminate (2L-FCCL) developed by China’s leading manufacturer of flexible copper clad laminates won the provincial science and technology innovation award I04GDZD
China leading rare earth magnesium alloy, aluminum titanium alloy, aluminum titanium boron, aluminum strontium alloy manufacturers invest in the construction of magnesium aluminum alloy precision automotive parts project Hay:A03NJYH
Fuji Keizai: the global market for polyacrylonitrile (PAN)-based carbon fiber reinforced plastics (CFRP) and carbon fiber reinforced thermoplastics (CFRTP) is expected to grow to US$32.06 billion By 2030
China aluminum alloy rail vehicle components, aluminum alloy car compartments, aluminum alloy motor vehicle components aluminum alloy precision parts, aluminum alloy electric vehicle battery trays manufacturer and supplier Hay:T02GXNN
China leading Carbon Fiber Guide Roller, Carbon Fiber Idler Rollers | Converting Rollers, Carbon Fiber Anilox Roll, Carbon Fiber Driveshaft manufacturer and supplier Hay:D02JSOT
The latest development of carbon fiber thermoplastic composite application technology
The stainless steel ultra-low temperature ball valve body produced by the Chinese special casting manufacturer helps localize the key ultra-low temperature valve for LNG in China Hay:T02SCHR
Global carbon fiber reinforced aluminum matrix composites and SiC particle reinforced aluminum matrix composites industry development
China leading rare earth neodymium iron boron permanent magnet material, polishing material, hydrogen storage material manufacturer built a pilot test line of cerium zirconium solid solution project Hay:A06BFXT
China only 13MnNi6-3 steel for low-temperature storage tank manufacturer won the bid for Ineos marine x12ni5 low temperature resistant nickel plate project Hay:A02NJGT
With an annual output of 20,000 tons of borosilicate glass tubes, Corning established a pharmaceutical glass packaging joint venture in China
China leading precision alloy copper wire manufacturer invest in new ultra-thin copper foil production bases Hay:A03SZZW
Arevo Labs, a manufacturer of carbon fiber industrial-grade 3D printers, develops new carbon fiber and carbon nanotube (CNT)-enhanced high-performance materials for 3D printing
Croom Precision Medical (CPM), an orthopedic implant contract manufacturer cooperating with orthopedic giants such as DePuySynthes/Johnson & Johnson and Zimmer Biomet, gains more market share through additive manufacturing technology
China leading nano-thin light guide plate manufacturer successfully developed 5G antenna concealment film Hay:F06SZWG
Chinese precision aluminum alloy die casting manufacturer invests in new production line of aluminum alloy car lamp bracket, lamp radiator, lamp reflector, zinc alloy car mirror bracket Hay:T02NTGH
China ultra-high-strength aluminum alloy extruded and forged materials manufacturers set up a joint engineering center for high-performance alloy materials: development of magnesium alloy materials and titanium alloy materials Hay:A03FJXX
China’s leading manufacturer of aluminum-magnesium alloy precision die-casting parts purchases multiple Norican Group die-casting machines Hay:T02JNHC
BYD uses BASF’s high-performance material Ultramid® polyamide material to create a new electric vehicle motor mounting bracket
Absorbing performance of stealth aircraft using carbon fiber composite material
Teijin has developed a new composite material process that can achieve uniform dispersion of different fibers
China’s ultra-large engine high-temperature alloy turbine disk, titanium alloy integral frame beam, ultra-high strength steel landing gear manufacturer introduces the world’s largest isothermal forging hydraulic machine Hay:T03XASJ
Panasonic increases production of PCB materials for 5G equipment in China
Chinese researchers have developed ultra-thin anti-fog self-cleaning ultra-thin diamond nano-films with high ultraviolet-infrared transmission
China leading large and complex thin-wall superalloy structural parts, casting superalloys, deformed superalloy manufacturers have developed superalloys: single crystal superalloys Hay:A04SYJS
Husco, a supplier of high-performance auto parts, has successfully developed innovative auto parts for the new generation of automobiles using SABIC’s ULTEM polyetherimide (PEI) resin
China Metal Rapid Slurry Forming (RSF) parts, extrusion die-casting parts, vacuum die-casting parts manufacturer increases the production capacity of 5G base station aluminum alloy die-casting structural parts Hay:T02ZHRX
American researchers develop ultra-thin ultra-lens (ultrathin metalens) innovative optical technology
Global leading electrolytic copper powder and metal-coated powder: copper-coated iron powder, copper-coated graphite, copper-coated molybdenum disulfide, and copper iron powder manufacturer and supplier Hay:A03JPJX
President of Toray Japan tells the history of the company’s carbon fiber development
Shanshan and LG jointly invested 7.78 billion yuan to build LCD polarizer projects
Automotive interior material and trim: TPO, TPU, high solid Pu, solvent free, super fiber manufacturers use BASF Haptex® innovative polyurethane to create safer and more comfortable seat covers Hay:Q06SZRG
China’s high-strength precipitation hardening stainless steel manufacturer provides a variety of special stainless steel materials for China’s aerospace industry Hay:A02SGJT
Huawei MatePad Pro 5G tablet is equipped with ultra-thick 3D graphene heat dissipation technology, the king of materials accelerates industrialization
Chinese researchers have made significant progress in the field of high-strength, corrosion-resistant metal materials: isoatomic CoNiV medium-entropy alloy (MEA)
Apple’s latest metal-plastic process patent: filling the metal pores with reinforced adhesive materials, which makes the product more waterproof and durable
Chinese researchers have made new progress in the field of elastic ceramic aerogels
Scientists at Linkping University have developed a new molecule that can be used to prepare high-quality InN/indium nitride, making it possible to use indium nitride in products such as high-frequency electronic devices
Ultra-high-purity stainless steel produced by Chinese special stainless steel, high-temperature alloy, and ultra-high-strength steel manufacturers is successfully used in pipeline valve components in semiconductor chip equipments Hay:A02SZGD
Future high-tech high-performance thermoplastics for automobiles
Evonik`s VESTALITE S creates glass fiber epoxy SMC with high mechanical properties and high flame retardant properties
Focus on flexible display screens, Chinese graphene and silver nanowire manufacturers mass-produce graphene nanosilver composite flexible conductive films Hay:F04HFWJ
China leading Carbon fibre fabrics,silicon nitride fibers,Carbon Brake Prefabrication,Silicon carbide fibers,Carbon composites,Aramid fiber cloth manufacturer and supplier Hay:D05FJXL
Superconducting magnet developed by Chinese superconducting materials technology enterprise arrives at ITER site in France
China has made a major breakthrough in the field of innovative power conversion technology for liquid metal-cooled fast reactors
Chinese researchers have developed a super-insulated aerogel that can easily withstand high temperatures of 1200℃: Anisotropic and hierarchical SiC@SiO2 nanowire aerogel with exceptional stiffness and stability for thermal superinsulation
BASF launches Ultrason® E0510 C2TR polyethersulfone (PESU), an engineering plastic with superior sliding friction properties, which can be used to manufacture automotive parts that require contact with hot oil
Global Leading thermal interface materials include thermal conductive phase change materials (PCM), traditional thermal pads (TGP), ultra-soft thermal pads (PT), thermally conductive insulating pads (TIP), dispensable thermal conductive gel Hay:F03USHY
China`s leading high thermal conductivity graphite film, ultrathin heat pipe and ultrathin soaking plate Manufacturer provides high thermal conductivity graphite film for Huawei Mate30 Hay:F03CZTY
China’s high-temperature alloy industry development
Germany EOS released 8 kinds (1 PREMIUM and 7 CORE products) of new metal 3D printing powder and process
China developed aluminum alloy material PVD coating technology
China’s leading polarizer manufacturer successfully developed 95μm ultra-thin dual liquid crystal compensation AMOLED polarizer Hay:F06SZSL
Titanium tube, titanium capillary tube, titanium zirconium hafnium wire manufacturers invest in the construction of titanium capillary tube production base for medical and aerospace industries Hay:A04SCJX
Types and preparation of ultra-high temperature ceramic matrix composites
Chinese researchers successfully prepared CoCrFeNiMn nano-entropy alloy with high strength and high thermal stability
China’s high-performance ceramic fiber manufacturer successfully produced silicon carbide ceramic fiber materials Hay:B01NBZX
EMPA scientists develop the world’s lightest electromagnetic shielding device based on aerogel
ONERPRO announced that it has signed a final exchange agreement with China`s leading paint protection film manufacturer Hay:C04ZJKJ
China aluminum magnesium alloy, aluminum alloy precision die castings, lightweight parts, aluminum magnesium alloy alloy wheels manufacturer and supplier Hay:T02SXZD
Lightweight thermal insulation material, aerogel thermal insulation material, marine heavy-duty anti-corrosion coating, metal rubber, composite damping plate Hay:F03HEHC
China Special Glass Fiber Technology Co., Ltd. has developed a highly stable composite microporous collimating glass material for star-borne hydrogen atomic clock Hay:D02ZGJC
5G equipment heat dissipation materials: Vapor Chamber, graphene thermal film, graphite heat dissipation material industry report
Electrolytic capacitor insulation paper,Special paper for the tobacco, home decor paper, anti-counterfeiting paper, food and medical packaging paper, label release paper, electrical and industrial paper, transfer base paper manufactrurer Hay:F04ZJXH
China optical film,optical grade polyester film manufacturer became the only global strategic cooperation supplier of Samsung VD optical film Hay:F06JSSX
U.S. researchers used reduced graphene oxide and aramid nanofibers to make structural supercapacitor electrodes
ultra-light magnesium-lithium alloy foil manufacturer Hay:A03ZZQY
China’s automotive precision aluminum alloy die casting manufacturer won GM’s 2019 Excellent Supplier Award Hay:T02GDHT
OMEGA and Liquidmetal
Chevrolet Corvette C7 carbon fiber composite material lightweight road
Chinese high-strength aviation forging manufacturer successfully develops landing gear forging for Xinzhou 700 aircraft Hay:T03ZGEZ
Reduced residual stress and retained properties in Al-Zn-Mg-Cu alloys using a novel cladding quenching process
China leading crystal silicon solar cell paste,palladium silver conductor paste, automotive glass hot wire silver paste, metal substrate electronic paste,ruthenium-based resistance paste and PTC thermistor paste manufacturer and supplier Hay:I02HNLD
China leading Pure Iron Powder, Alloy Steel Powder, Stainless Steel Powder, Super-fine Iron Powder, Ni Powder, Copper-based Alloy Powder, Sintered CuPb Alloy Powder, High-strength Copper Powder manufacturer Hay:A05HZYT
China`s Leading Architectural Coatings,Decorated Insulation Panels,Insulation Materials,Floor Coatings Manufacturer new waterproof material production base successfully mass production Hay:K06SHYS
China Special Steel Group developed the world’s highest strength plastic 2060 MPa grade steel for bridge cables Hay:A02BWJT
Derrick’s polyurethane screen reduces the cost of gold recycling plants
China Graphene Materials Technology Corporation builds a production base of 400,000 square meters of graphene thermal film Hay:F03SZBT
Chinese modified plastics manufacturer develops polyethylene rotomolding materials with high stiffness,high toughness and high UV resistance Hay:C03ZGSH
Market Research Future: Global titanium alloy market development trend in 2023
China leading magnesium,aluminum and zinc alloy die casting and plastic injection moulding manufacturer and supplier Hay:T02HKJR
LG Chem, Samsung SDI and Panasonic copper foil suppliers build new production bases for lithium battery copper foil, standard copper foil and 5G high-frequency high-speed copper foil Hay:A03SZLH
Polyplastics: Launched DURANEX (R) PBT material for autonomous driving applications: it has strong durability, alkali resistance, hydrolysis resistance and thermal shock resistance
Chinese 3D printing equipment manufacturer successfully printed tantalum alloy parts
Industry ARC: The market scale of carbon fiber reinforced metal matrix composites will reach US$27.5 billion by 2025
British and German researchers have developed a new type of armor material:Proteus lighter than steel and harder than diamond, and cannot be cut
American researchers found a fast and effective method for processing low-temperature titanium alloys
International Research Progress in the Field of Titanium Alloy Oil Well Tubing
Chinese leading Copper-clad Aluminum (CCA)/Bimetal Busbar manufacturer cooperated with US company Hay:D04YTFX
Global leading Carbon black manufacturer and supplier Hay:B06JPDH
China leading Food Grade Cellulose Film,Cellulose casing,PVDC Coated Cellulose Film,High-grade Printable Cellulose Film,Special Cellulose Film for Medicine Packaging,Colorful Cellulose Film manufacturer and supplier Hay:F08SDHL
China leading honeycomb core material,honeycomb composite sheet, Honeycomb Materials, Packaging Honeycomb Materials manufacturer and supplier Hay:D02GZHL
China Colorful/black Polyimdie Film,Multifunctional Polyimdie Film,Polyimide Adhesive Film/Thermal Plastic Film,Polyimide Board,Metal and Polyimide film,Polyimide Far-Infrared Flexible Heating Module manufacturer and supplier Hay:C04NBJS
SCHOTT China will expand its borosilicate glass tube production capacity by 20,000 tons by the end of the year
Chinese leading EMI shielding film, conductive film, thin flexible copper clad laminate, ultra-thin flexible copper foil manufacturer’s EMI shielding film gained 25% of the global market Hay:F05GZFB
China’s leading manufacturer of high-precision drawing die and metal stamping parts has become Continental AG’s supplier for BMW multimedia fixed metal stamping plate/Retaining Plate Assy Hay:T01SZRM
Ultrafine crystalline cold rolled steel,high strength and corrosion resistance of hot plating (aluminum) zinc steel plate,Hot galvanized & Rolling hard,Acid pickling roll,Color coating,Peritoneal color steel plate manufacturer and supplier Hay:A02ZJHD
China Advanced Composites Technology Corporation successfully developed a bionic lobster eye focusing lens and its microstructure for the Chinese X-ray exploration satellite Hay:D02ZGJC
China’s rare earth magnesium alloy, aluminum-magnesium-titanium alloy, magnesium-lithium alloy manufacturer successfully developed ultra-microcrystalline scandium aluminum alloy material Hay:A03ZJJL
Australian research institute has developed 3D printed Nitinol/nickel-titanium alloy vascular stent
South Korea develops environmentally friendly flame-retardant carbon fiber reinforced composite material CFRP, which can be used in aerospace/automotive..
Dow and Chinese PE stretch film manufacturers launch bio-based PE stretch film in Asia Pacific Hay:C04MLTY
The US Department of Energy [DOE] grants support for the development of carbon fiber hydrogen storage tanks and automotive composite parts
China leading iron nickel magnetic powder cores, soft magnetic metal magnetic powder cores, precision powder metal structural components manufacturer provides products for Tesla Model S/X series and Model 3 Hay:F05ZJDM
China’s leading corrosion-resistant alloy,titanium alloy, high-temperature alloy seamless pipe,bimetal composite pipe manufacturer’s precision stainless steel pipe project for semiconductor,medicine,instrumentation put into production Hay:A02ZJJL
The oriented silicon steel project invested by the manufacturer of anocrystalline ultra-thin strips and nickel-based amorphous alloy strips was put into production Hay:F05TGJT
Ten-meter diameter weldless integrated forgings manufactured by China’s leading Seamless Ring Rolling Forgings manufacturer rolled off the production line Hay:T03SDYL
A Chinese titanium alloy material manufacturer and a Ukrainian material research team have jointly developed an easy-to-weld, high-strength and tough aviation titanium alloy with a strength greater than 1350MPA Hay:A04NBWZ
China’s carbon fiber research has changed from catching up with international standards to leading international standards, breaking through the benchmarking dilemma of China’s high-performance carbon fiber
The high-performance heat-resistant composite material behind China’s
Airbus A320neo wing spoiler uses Teijin carbon fiber “TENAX®” intermediate material
China’s leading high-strength and high-conductivity magnesium alloy materials and products manufacturer`s lithium battery magnesium alloy products enter Tesla’s supply chain Hay:A03ZJWF
Manufacturer of high-density interconnect boards (i.e. HDI boards), thick copper boards, high-frequency/high-speed boards, metal substrates, and IC carrier boards succeed IPO Hay:I04GDKX
Chinese researchers have made new progress in the study of fluorinated COFs proton exchange membranes
China’s Ultra-lightweight high-temperature insulation material:high-performance alumina fiber project completed and put into production Hay:B01SDDH
Global famous toothpaste brand:Procter & Gamble Crest,Colgate,and Unilever’s friction silica and thickening silica manufacturer and supplier successfully IPO Hay:B04GDJS
China’s leading pure titanium and 3D printing titanium alloy powder, titanium alloy seamless tubes manufacturer invested titanium alloy powder and high-temperature alloy powder projects completed and put into production Hay:A04JSTG
China`s leading manufacturer of precision aluminum alloy forgings and castings supplied Tesla with 326 million yuan and raised over 1 billion yuan to expand production to seize the high-end aluminum alloy casting and forging market Hay:T03NBXS
China’s leading manufacturer of high-purity carbon fiber insulation materials realizes commercial production of high-purity carbon C powder for SiC single crystal Hay:B06HNDL
China leading PVA optical film,PVA fiber,PVB film,PVA and HSHM-PVA Fiber/high strength and high modulus polyvinyl alcohol fiber,polyester chip manufacturer and supplier Hay:F06AHWW
Teijin Aramid Technora® Helps NASA “Perseverance” Mars Rover Launch
China`s leading High purity indium,indium oxide,ITO: Indium-Tin-Oxide target manufacturer and supplier Hay:A01YNXY
China leading Electromagnetic shielding film,ultra-thin flexible copper clad laminate and ultra-thin copper foil manufacturer and supplier Hay:A03GZFB
China’s Passenger Jet C919 and C929 use high-performance aramid fiber honeycomb composite materials, It becomes another key aerospace material after carbon fiber composite materials
China`s leading dry process lithium battery separator, wet process lithium battery separator, coated lithium battery separator manufacturer and supplier Hay:N02CQNM
China leading leading R&D grade high-purity black phosphorus crystal powder, polycrystalline black phosphorus powder, black phosphorus nanoplate dispersion, black phosphorus crystal plate, fiber phosphorus crystal manufacturer and supplier Hay:B07SZZK
Basanite Industries and MEP®Consulting Engineers sign an exclusive supplier agreement for basalt fiber reinforced polymer products
BASF acquires Owens Corning XSTRAND business to expand Forward AM 3D printing material product portfolio
China’s leading fiber-grade polyphenylene sulfide (PPS) resin and PPS fiber, NHU-PPA manufacturer acquired glass fiber reinforced nylon (PA6, PA66 &HTN), TPU, PBT, PET manufacturer Hay:C03ZJXH
Chinese researchers successfully developed biodegradable bionic transparent film
Blackwave GmbH designs and produces carbon fiber SMC:carbon fiber sheet molding compound brake levers for bicycles, saving 35% of the weight compared to similar aluminum parts
China’s leading high-precision 6um lithium battery copper foil manufacturer plans to build a high-precision electronic copper foil project with an annual output of 20,000 tons Hay:A03GDCH
Continental’s extreme temperature resistant HighTempLevell air spring is used for Swedish commercial vehicles
Apple PCB supplier and the global largest PCB manufacturer,China`s leading full range of circuit board products/PCB manufacturer and supplier Hay:I04SZPD
Chinese leading bonding wires manufacturer successfully developed palladium-plated copper wire and silver alloy wire Hay:I04NBKQ
China’s leading manufacturer and supplier of anti-aging additives:antioxidants,light stabilizers and UV absorbers
Chinese leading manufacturer and supplier of precision sheet metal,precision metal structural parts for semiconductor device,new energy and power equipment,medical devices Hay:T01SZHY
China’s leading manufacturer of modified engineering plastics provides PTFE hose components, polyimide plastics and other materials for China’s Tianwen-1 Mars Explorer space project Hay:C02SHSY
New thermal insulation materials: aerogel thermal insulation materials, vacuum thermal insulation materials, carbon thermal insulation materials, composite thermal insulation materials
Liquid cooling/Vapor Chamber+silicone grease cooling+graphene cooling+metal middle frame three-dimensional cooling system for smartphones
TiC micron particle reinforced 316L stainless steel 3D printing material/Selective laser melting of dispersed TiC particles strengthened 316L stainless steel
BASF launches graphite polystyrene/graphite reinforced polystyrene composite rigid foam building insulation material
The third-generation semiconductor silicon carbide substrate project newly invested by China’s leading third-generation semiconductor silicon carbide (SiC) wafer manufacturer starts construction Hay:I01BJTK
China’s leading manufacturer and supplier of high-precision electronic copper foil: electrolytic copper foil,rolled copper foil;copper clad laminate/CCL Hay:A03GDCH
China’s leading photomask manufacturer builds China’s first semiconductor-grade 14nm photomask substrate production line I03SHCX
China’s leading manufacturer of dispersion-strengthened copper alloys and high-strength and high-conductivity copper alloys to build lead frame materials for IC and titanium alloy materials Hay:A03GDXQ
China’s leading electrical insulation material,polypropylene film manufacturer invests in optical grade polyester base film, OLED process protective film, and special resin materials for high-frequency and high-speed printed circuit boards. Hay:C04SCDC
3D printing of high-temperature alloys and titanium alloy aircraft engines parts
China’s leading gallium arsenide/gallium nitride microwave integrated circuit (GaAs/GaN MMIC) Foundry business has grown significantly Hay:I01SCHT
The price of polylactic acid, a raw material for biodegradable plastics, soars
China’s leading food and medical packaging specialty paper manufacturer commercializes biodegradable paper straws and paper bags Hay:D10ZJXH
“Key technologies and equipment for the industrialization of 50K large tow carbon fiber by the thousand-ton NaSCN method” developed by China’s leading carbon fiber manufacturer passed the technical achievement appraisal Hay:D02ZGLX
Battery Separator for Absorbent Glass Mat (AGM) Battery Hay:N02CQZS
Taiwan’s precision magnesium alloy die casting manufacturer builds a new magnesium alloy production line in mainland China Hay:T02HKJR
China’s leading manufacturer of precision stamping parts and electronic structural parts Hay:T01NBXR
China`s leading manufacturer of digital camera lens,wide-angle automobile lens,scanner lens, endoscope Lens,sight lens, mobile phone lens,projector lens,zoom lens
The German “AeroFurnace” project involving furnace manufacturer FCT Systeme and SGL Carbon has developed a new generation of thermal insulation composites, which has improved thermal insulation performance by 120%
Braskem/INSPIRE launched a new grade of PP, which can replace PET in the field of food packaging
The global Advanced Color ePaper manufacturer E Ink and TCL CSOT Partner for World’s First 8.5 Generation Production Line to Manufacture ePaper TFT Backplanes
China`s leading ultra-high purity aluminum sputtering target substrate,aluminum-based bonding wire,High purity aluminum wire,Anode aluminum foil for high voltage electrolytic capacitor manufacturer and supplier Hay:A01XJZH
Chinese leading galvanized steel sheets,Special color-coated steel sheets for sandwich panel,Double-sided Color-coated steel sheets,Ultra-high precision cold rolled steel sheets,Annealing Steel Sheets manufacturer and supplier Hay:A02JSPH
Chinese leading manufacturer of EMI shielding materials, thermal interface materials, wave-absorbing materials and ferrite Hay:F03SZHF
Chinese leading manufacturer of solder Wire,Tin-Zinc Solder,Tinned Copper Strip,Photovoltaic Solar Cells Rectification Bands,Fuze Connecting Piece,Tinned Copper busbar,Red Copper Strip,Stainless Steel Bellows Compensator Hay:A03GXMF
China’s leading manufacturer of precision cold and warm forgings: constant velocity universal joints,flanges,picks,gears,down-the-hole drills,three-pin shafts,spline shafts,star sleeve precision forgings Hay:T03JSZD
Chines leading manufacturer of carbon steel,alloy steel,nonferrous metals,stainless steel ,bearing steel,High-temperature steel special shaped ring forgings:Flange,tube plate,gear ring,structure ring,valve Hay:T03WXZH
The ultra-thin flexible glass project furnace built by a high-end flexible glass cover plate manufacturer in China was successfully ignited, with a thickness of only 0.07mm Hay:B04SDRG
The preparation of aerogel has a new process, this time it can be used in advanced fields such as microelectronics
Containing rhenium and hafnium Nickel-based alloy
China’s leading manufacturers of liquid metals, zirconium-based amorphous alloys, and biodegradable medical magnesium alloys have more applications for liquid metals Hay:H06DGYA
China leading ultrapure ferrite, high-nitrogen/nitrogen-controlled austenite, and supercorrosion-resistant austenite manufacturer and supplier Hay:A02FJFX
Build China’s international giant for heat-shrinkable tubing and cold-shrinkable tubing, the of PTFE tubing Hay:F03SZCY
Chinese leading manufacturer of Polymer optical film,optical grade protective film,PE/PET/PP protective film,,LCD panel protective film,metal and glass protective film,TP cover protective film,temperature resistant protective film Hay:F06SZFZ
Chinese researchers used mechanical alloying (MA) and spark plasma sintering (SPS) technology to prepare CrMoNbWTi-CNO refractory high-entropy alloys (RHEAs), obtaining ultra-high compressive strength and hardness of 4345MPa and 11.88GPa
Hexpol TPE adds a new grade to its bio-based Dryflex Green thermoplastic elastomer (TPE)
China’s leading high thermal conductivity graphite heat dissipation material, high thermal conductivity graphite film, and 3D curved glass manufacturer intends to raise no more than 508 million yuan to expand production scale Hay:F03JSTY
Essentium and Magigoo collaborate to launch 3D printing adhesives
China’s leading RTF copper foil,VLP copper foil and HVLP copper foil manufacturer in the high-frequency and high-speed field are optimistic about the industry development prospects and continue to expand production capacity Hay:A03GDCH
Chinese leading manufacturer of large-scale wind turbine castings:wind turbine Hub,wind turbine Bearing,wind turbine Gear Box,wind turbine Bearing Housing, wind turbine Main Frame castings Hay:T02JSJX
China’s LTPS: Low Temperature Poly-silico glass substrate, high-alumina glass manufacturer developed drop-resistant glass began commercial production Hay:B04HBDX
China’s leading manufacturer of ceramic fiber,magnesium silicate fiber,soluble fiber,alumina fiber,basalt fiber Hay:D01SDLY
China’s leading manufacturer of low-temperature and corrosion-resistant high-end marine steel successfully developed 500MPa high-strength low-temperature resistant H-beam steel Hay:A02SGJT
China’s leading manufacturer of precision lenses:Surveillance Lens,Automotives Lens,Machine Vision Lens,ITS Lens,Low Distortion Lens,Consumer Imaging,Panoramic Lens Hay:F06XMLD
Chinese leading manufacturer of Vacuum Insulation Panel (VIP), ultra-fine microfiber and super glass wool Hay:F03AHJY
Microskeleton-Nanofiller Composite with Mechanical Super-Robust Superhydrophobicity against Abrasion and Impact
Breaking the monopoly of foreign technology, China’s 535 kV DC cable has fully independent production capacity
A new pattern in the Asian Glass fiber composite material,carbon fiber composite material industry
Chinese leading manufacturer of high purity cathode copper,Copper rod&wire ,Bare copper wire,Tinned copper wire,Copper pipe,Enameled wire,Copper sheet and strip,Electrolytic copper foil,Copper foil for lithium battery,Medical copper cable Hay:A03JXTY
The U.S. launched [The U.S. Plastics Pact] supported by more than 60 signatories including Coca-Cola, Unilever, and Amco
Sino-Japanese joint venture semiconductor materials companies jointly built China’s largest silicon material production base, and the first 8-inch Czochralski silicon single crystal was successfully rolled off the assembly line Hay:I01BJYY
Anti-caking application of fumed silica in powder
China’s leading manufacturer of titanium alloys,tungsten-molybdenum alloys and superalloy has developed stainless steel materials and nickel-based materials for nuclear power reactor cores,which have been exported with Hualong No. 1 project Hay:A04SXXB
Enhancing the right to speak in the graphene new material industry China’s graphene research institute presided over the formulation of the first international standard officially released
China’s leading manufacturer of high-strength and high-modulus polyethylene (UD) fabric body armor,bulletproof helmet,boron carbide,silicon carbide body protection insert board Hay:D02YZHH
China’s leading manufacturer of ultra-precision smooth optical components,high-precision metal parts,High-precision metal structural parts for precision optical lenses Hay:T09GDYC
Chinese leading manufacturer of resin-based structural and functional integrated composite materials for ships and marine engineering,high-strength/impact-resistant/wave-absorbing composite products Hay:D02HBHW
China’s leading precision optoelectronic thin film manufacturer successfully developed an absorption-reflective composite filter Hay:A02KSXL
China’s leading manufacturer of wireless charging modules and wireless charging soft magnetic materials Hay:F05SZXW
China`s leading nano-silica aerogels manufacturer successfully developed aerogel powder Hay:F03SXYQ
Chinese leading manufacturer of HPHT Fly Ash Filter,Sintered Metal Powder/Mesh/Metal Fiber Material and Filter Elements,Wedge Wire Screen and Filter Elements,Sintered High Flux Tubes for Heat Exchange Hay:F07BJAT
Chinese leading manufacturer of TiNi shape memory alloy pannel,sheets,rods and wires,CuAlBeX shape memory alloy bars,pipe fittings and wires,shape memory alloy medical instruments Hay:H05LZXM
China’s leading aviation high-temperature alloy precision forgings, titanium alloy, aluminum alloy, magnesium alloy precision forgings manufacturer and supplier Hay:T03ZHZJ
The research institute for high-performance materials [polypropylene, polycarbonate, special nylon and biodegradable plastics] invested by China’s largest MDI, TDI, and polyurethane manufacturer has been officially put into use Hay:C02SDWH
JOONGWOO M-Tech Phase I UTG/Ultra Thin Glass production line achieved mass production, Phase II monthly production capacity of 1 million pieces of investment completed evaluation
Application of rare earth in structural ceramics:Alumina (Al2O3) ceramics,Silicon nitride (Si3N4) ceramics,Zirconia (ZrO2) ceramics,Silicon carbide (SiC) ceramics,Aluminum nitride (AlN) ceramics,Sialon ceramics
Chinese NdFeB rare earth permanent magnet material manufacturer`s new production line is put into operation Hay:F05YTZH
AT&S, Austria’s leading manufacturer of PTH PCBs,Multilayer PCBs, HDI Microvia PCBs, HDI PCBs, Flexible PCBs, IMS Insulated Metallic Substrate PCBs, IC Substrates Semiconductor package substrates China factory put into production Hay:I04AUAT
Solvay’s long glass fiber-reinforced thermoplastic composite material Xencor LFT:Ryton PPS (polyphenylene sulfide), Amodel PPA (polyphthalamide) and Ixef PARA (aromatic polyamide) responds to the innovative needs of medical device structural components
Chinese leading 3D printing system manufacturer successfully delivered 4 sets of pure tungsten/pure tungsten grating customized parameter 3D printing equipment
Chinese leading manufacturer of Austenitic and super austenitic stainless steel pipes, duplex steel and super duplex steel pipes, nickel-based alloy pipes, titanium alloy pipes, copper alloy pipes, metal-based composite pipes Hay:A02SXZG
The 3D forging process/ultra-high speed forging process for manufacturing precision light alloy products e.g magnesium alloy mobile phone case Hay:T03TWPD
Hundreds of leading material manufacturers around the world have deployed biodegradable plastics:PLA,PHA,PBAT,PPC…
BASF launches ultra-high viscosity PESU Ultrason® E to improve the durability of hollow fiber membranes and filters
Chinese leading manufacturer of 12μm black type rolled copper foil,high precision inner-grooved copper pipe, lead-frame copper strip, connector copper strip, high-precision rolled copper foil,High purity copper foil for PCB Hay:A03SDAB
Chinese manufacturer of thermoelectric Ingots,thermoelectric Cooling/Heating System, Biomass stove with thermoelectric generator,Thermoelectric Generators,Thermoelectric Modules for cooling and power generation Hay:F03JXNM
Using SABIC ULTEM™ 1000F3SP polyetherimide (PEI), a Chinese thermoplastic composite manufacturer and SABIC jointly developed a series of unidirectional (UD) composite strips Hay:D02JSHS
China leading manufacturer of Niobium-nickel alloys Hay:A03ZGYS
Technology Progress and Industrialization Analysis of Titanium Alloy Dieless Rolling
Oak Ridge National Laboratory researches new methods that can significantly improve the mechanical properties of ordinary polymer nanocomposites/Glassy polymer nanocomposite (PNC)
Chinese leading manufacturer of LOW-E coated glass,TCO coated glass,ultra-thin,ultra-clean photovoltaic glass manufacturer build new production lines for aluminosilicate glass,neutral borosilicate glass,high-aluminum electronic glass Hay:B04CZQB
BASF launches improved red phosphorus flame-retardant polyamide products, bringing changes to the Asian power electronic component market
China`s leading manufacturer of Nano-ceramic diaphragms for lithium batteries:ceramic fiber diaphragms, particle diaphragms, composite diaphragms, PVDF coated diaphragms, PMMA coated diaphragms and ion conductor diaphragms, attapulgite Hay:N02JSQT
The ultra-high temperature graphitization purification system of a Chinese manufacturer of high-purity graphite can achieve continuous production of 99.9999% [6N] of high-purity graphite Hay:B06HNDL
China`s leading manufacturer of titanium and titanium alloy materials, layered metal composite materials,rare and precious metal materials, metal fibers and filter products, tungsten and molybdenum materials Hay:A04SXXB
Chinese researchers are studying high-functional graphene 3D printing materials
China’s leading aramid paper manufacturer releases high electrical strength insulating aramid paper Hay:D01JXLB
Global Leading Manufacturer Oxide Bonded Silicon Carbide, Reaction Bonded Silicon Carbide, Recrystallized Silicon Carbide, Sintered Silicon Carbide Hay:B01USBP
China’s meta-aramid fiber, para-aramid fiber, and aramid paper manufacturer provide BASF with aramid flame-retardant antistatic protective clothing Hay:D01YTTH
DuPont launched a new medical silicone brand Liveo™, which will replace the existing Dow Corning™ and SILASTIC™ brand names on the market
China’s leading manufacturer of LCD glass substrates, cover glass, color filters, sapphire glass invested 3 billion to build a new production base for neutral borosilicate glass tubes Hay:F08HBDX
The precision stamping & etching lead frame materials,SOCKET base materials produced by Chinese leading precision copper alloy manufacturer has successively entered the supply chain of leading semiconductor packaging companies Hay:I04NBBW
Chinese researchers have realized high temperature electromagnetic wave absorption materials by constructing SiC nanowire networks in the porous SiC framework
China’s leading laser cutting equipment manufacturer provides solutions for Tesla’s ultra-thin aluminum alloy coated trim suppliers
Rice University in the United States is developing strong and conductive carbon nanotube fibers that can be used in automobiles and aerospace
China’s leading manufacturer of High performance polymer wear-resistant material worm wheel, modified high-performance engineering plastics and composite materials Hay:C03ZZSD
China’s leading manufacturer of precision casting auto parts, compressor castings, pump valve tube castings Hay:T02SXHX
Magnesium lithium alloy and aluminum lithium alloy supplier Hay:A03HNZC
Swiss Tools teamed up with Haimer, Heller, and Dixi Polytool to hold a seminar on efficient precision intelligent manufacturing
Flexible Graphene Nanocomposites with Simultaneous Highly Anisotropic Thermal and Electrical Conductivities Prepared by Engineered Graphene with Flat Morphology
Chinese leading manufacturer of Precision Metal Injection Molding/MIM parts and components in stainless steels, iron based alloys and copper based alloys, super alloys, soft magnetic materials Hay:T05TWCM
China’s leading magnesium and aluminum alloy material manufacturers invest in electronic grade ultra-high purity aluminum and large aluminum alloy casting projects Hay:A03NBJY
Large-size high-performance optical quartz glass, ultra-high-purity quartz glass, special-shaped quartz products and tubes, metal surface vitrified mirrors, anti-halation glass windows, lithium glass and radiation protection quartz, glass Hay:B04BJSY
The PI/colourless polyimide (CPI) film for artificial graphite thermal film and high performance PI film for FCCL invested by a Chinese high-performance PI film manufacturer has achieved Commercial production Hay:C04JSZT
Avient showcases its wide range of medical polymer solutions at Medtec China 2020
China’s largest manufacturer of submarine cables, submarine optical cables, and photoelectric composite submarine cables realized the first batch of 220kV photoelectric composite submarine cables Hay:F04YZBS
Sandvik Metal’s 3D printing titanium alloy powder factory has passed ISO13485:2016 medical certification
China’s leading manufacturer of superhard materials: synthetic diamond single crystal, large single crystal diamond, micron diamond wire, super hard abrasive tools Hay:B06HNSF
Toyoink European company TIESC develops near-infrared sortable black masterbatch
China’s leading manufacturer of high-strength carbon fiber, wind turbine blades, winding products, special thin felts and composite floor materials Participated in China Composites Expo
Airbus Metal’s / Heraeus 3D printed super-strong aluminum alloy powder Scalmalloy goes on sale in China
Sigmatex launched a recycled carbon fiber non-woven fabric, which reduces 500 tons of carbon fiber landfill waste annually
Chinese leading manufacturer of large size high-quality gallium nitride/GaN wafers for semiconductor industries Hay:I04SHJT
Storopack launches new polyurethane foam for transportation protection
Borouge and Borealis launched the BORLINK™ LS4201S solution for high field strength 220 kV high voltage cables at the China International Cable and Wire Show
Chinese leading manufacturer of Metal-Polymer Bearings,Bimetal-Bearing,Self-lubricating Bearings,Hardened Steel Bearings,Solid Bronze Bearings,Wrapped Bronze Bearings,Meshed Composite Bearings,Plastic Bearings Hay:U03ZJZD
Chinese researchers prepared “Towards Chirality Control of Graphene Nanoribbons Embedded in Hexagonal Boron Nitride”
China’s nano silica aerogel, graphene aerogel, flexible ceramic nano aerogel manufacturer creates a high-performance aerogel material science innovation city Hay:F03SXYQ
Chinese leading manufacturer of Aluminum-lithium alloy, magnesium alloy castings, ultra-slender variable-wall thickness aluminum alloy castings,complex thin-walled castings, high-strength heat-resistant rare earth magnesium alloy castings Hay:T02ZGHT
Toyochem, a subsidiary of Japan’s Toyo Ink Group, announced that the company has developed a new series of polyurethane pressure-sensitive adhesives with an ultra-high solid content of 99%
China successfully developed ultra-high strength aluminum alloy
China’s leading aviation-grade titanium alloy and superalloy powder manufacturer releases new superalloy powder products at IAME 2020 Hay:H01ZHMT
Norplex-Micarta acquires Solvay’s CYPLY series of materials: laminated sheets based on non-woven continuous parallel E glass fiber and epoxy resin
Chinese leading manufacturer of large-size special-shaped forgings for wind power industry Hay:T03JSHL
China’s leading manufacturer of electromagnetic shielding films, conductive adhesive films, and copper clad laminates newly build electromagnetic shielding films and conductive adhesive films for FPC (flexible circuit boards) Hay:F05SZKN
The global market size for Carbon fiber reinforced plastics: CFRP will reach $64 billion in 2030
China’s high-end amorphous alloy industry is developing rapidly
The “Aramid paper honeycomb core board for rail transit vehicles”was awarded Hay:D02JSJY
The development of emerging industries brings new market development opportunities to the rare earth materials industry
China’s leading submarine optical cable and submarine cable manufacturer won the bid for China’s offshore wind power project: three-core 220kV submarine photoelectric composite cable and supporting accessories Hay:F04JSZT
China’s leading manufacturer of optical precision instruments and core optical components provides ultra-precision optical lenses and Core optical componients for Sanmina, Nikon, Leica Camera, Zeiss, and Jabil Hay:F06NBYX
Spray forming aluminum-lithium alloy precision structural parts manufacturer Hay:A03JSHR
Chinese leading manufacturer of High-Strength Wear-resisting Steel plates and High-Strength Structural Steel plates Hay:A04SDBS
China’s leading window touch protective glass panel, 3D curved glass manufacturer invests in sapphire crystal manufacturing base Hay:B04HNLS
Volkswagen Group will acquire 26% stake in lithium battery manufacturer GOTION
Toughening method and application of silicon nitride ceramics
China’s leading manufacturer of pure copper,high copper alloy casting rotor for super-efficient motor Hay:T02YNTY
China’s leading ITO conductive coated glass, TFT panel thinning plate manufacturer successfully mass-produced Ultra thin glass (UTG) Hay:B04AHCX
China’s leading manufacturer of foamed ceramic partition wall panels Hay:K06JXZC
China’s leading manufacturer of hot isostatic pressing silicon nitride ceramic balls released a new product: high thermal conductivity silicon nitride ceramic substrate
Chinese leading manufacturer of Ultra-thin,ultra-fine holes and complex shaped special-shaped carbide parts, hard alloy wear-resistant parts, precision zirconia ceramic parts, Silicon carbide/ferrite absorbing material,Precision carbide nozzle Hay:T05NJDD
China’s leading manufacturer of wear-resistant, high-temperature and corrosion-resistant material castings Hay:T02HBJY
Chinese manufacturers of ultra-high modulus glass fibers,high-strength ultra-fine glass fibers, and low-dielectric glass fibers have developed high-performance shaped glass fibers, breaking the monopoly of Owens Corning,PPG,Johns Manville Hay:D01CQGJ
Hexcel releases new 3D printed conductive polymer-matrix carbon fiber composites
KEF to put Metamaterial Absorption Technology into loudspeakers
Luxexcel plans to manufacture 3D printed prescription lenses
Manufacturing of high thermal conductivity silicon nitride ceramics and its application in electronic packaging substrates
Chinese leading manufacturer of carbon nanotube fiber, carbon nanotube film, carbon nanotube powder, and carbon nanotube conductive paste won the third prize of the
The Performance and Molding Process of Carbon Fiber Reinforced Polymer[CFRP] for automotive application
China’s leading carbon fiber medical board CT board, UAV carbon fiber composite structure, carbon fiber arm manufacturer provides carbon fiber composite Teeth forks for handling robots in the LCD and wafer fields Hay:D02JSBS
China’s leading manufacturer of ceramic dielectric materials and structural ceramic materials (nano-composite zirconia and alumina) expand production capacity of honeycomb ceramics for automotive exhaust purification Hay:B03SDGC
Chinese leading manufacturer of Metallurgical composite Bimetal and multiple metal steel pipes, high temperature and corrosion resistant special alloy seamless pipes, stainless steel pipes, ductile iron pipes
French start-up NAWA Technologies has received 13 million euros in financing to build next-generation carbon nano-super batteries based on carbon nanotube technology and Ultra Fast Carbon Electrode
In the aviation field, the forging and casting tasks of OEMs have shifted from internal manufacturing to external specialized forging and casting enterprises
Chinese leading manufacturer of high-precision, high-complexity casting and machined components Hay:T02HKYP
SAERTEX and Safran Nacelles signed a long-term cooperation agreement on carbon fiber fabrics for aircraft
Akzo Nobel invests 160 million yuan in the construction of a new powder coatings base in Taiwan, China
ABB has completed the acquisition of Codian Robotics, a leading provider of parallel robots, with the intention of enhancing the product line of high-speed and sanitary industrial robots
Chinese leading manufacturer of III-V compounds and single crystal germanium semiconductor substrate materials: GaAs SI, GaAs SC, InP SI, InP SC, Ge SC Hay:I04BJTM
China’s leading Fe3Al metal powder filter element manufacturer: the only manufacturer of fly ash filter elements authorized by Shell in China Hay:F07BJAT
Chinese high-strength ceramic plate manufacturer successfully developed high-strength ultra-thin 3mm polished ceramic plates Hay:K01GDMN
Chinese leading manufacturer of precision aluminum alloy die castings for automobiles, elevators, communications, electromechanical industries Hay:T02GDHT
China’s leading manufacturer of tungsten copper, molybdenum copper, pure tungsten, pure molybdenum, and heat sink materials releases tungsten copper composite materials for electronic packaging and heat sinks Hay:D04GDRC
Researchers at MIT and Nanyang Technological University turned nano-diamonds into conductive materials like metals
Seoul Semiconductor’s WICOP Bi-Color LED products are used in Audi headlights for the first time
Low Temperature Cofired Ceramic: LTCC material and application
The global high-end precision EDM wire,Tinned brass wire manufacturer released a new generation of ultra-high-speed coated wire and a new generation of ultra-high-precision coated wire at the Shanghai DMC exhibition Hay:T10NBBD
Chinese leading manufacturer of Germanium single crystals and wafers for solar cells, fiber germanium tetrachloride, high-purity gallium arsenide single crystals and wafers, indium phosphide single crystals and wafers Hay:A01YNZY
Evonik launched membrane technology for the separation of volatile organic compounds (VOCs) and launched it on the market under the PuraMem® VOC brand
Canada 5N PLUS announced its entry into the 3D printing field and launched its metal powder product series
The 48K large tow carbon fiber production base starts commercial production Hay:D01SHSH
China’s leading manufacturer of 300mm (12-inch) semiconductor wafer,300mm Epitaxial Wafer,300mm Polished Wafer Hay:I01SHXS
Chinese silicon carbide (SiC) wafer manufacturers have successfully developed 8-inch silicon carbide (SiC) substrate wafers Hay:I01SXLK
Chinese researchers achieve low-temperature synthesis of hexagonal boron nitride (h-BN) at 700K
Celanese completes sale of 45% stake in Polyplastics
Chinese researchers develop a new function of medical special polymer-polyether ether ketone implant material with dual functions of anti-cancer and antibacterial
Chinese leading manufacturer of precision aluminum alloy die-casting parts for automotive steering and transmission systems Hay:T02JSRT
China will invest more than 14.6 billion yuan to build an industrial park for the development and production of high-end titanium and titanium alloy products
Apple patent, using “Active Suspension System with Electropermanent Magnets” to reduce energy consumption
Plessey,a global leading manufacturer of full-field emissive microLED displays for head- mounted displays (HMDs), and augmented reality (AR) and virtual reality (VR) systems
Toray Provides Carbon Fiber Composites for Lilium Jet Aircraft
Chinese leading manufacturer of Precision optical components, optoelectronic modules,Endoscope,Camera Coupler and Lens,Medical Optics Hay:F06QDHT
China’s leading submarine optical cable and submarine cable manufacturers have mastered the core technology of high-voltage DC submarine cables Hay:F04JSZT
Chinese researchers have successfully developed a new type of rare earth tantalate high temperature iron elastic phase change ceramic material using ultra-high temperature tantalate thermal barrier coating technology Hay:B01KMLG
Roehm launches PLEXIGLAS® Optical HT [PMMA]Offers Enhanced Heat Deflection Temperature and Excellent Optical Properties
Chinese ultra-thin photovoltaic glass manufacturer builds new ultra-thin, double-film high-transmittance, large-size photovoltaic glass: ultra-thin and high-transmission photovoltaic glass project Hay:B04CHJT
China’s high-performance aramid fiber technology innovator and leader Hay:D01SDZF
China’s leading pressure vessel manufacturer successfully produced the Reactor head made from titanium + 304 stainless steel composite plate (12 + 3) Hay:D04SDQL
Expansion of photoresist production capacity! Shin-Etsu Chemical plans to invest US$285 million to set up factories in Japan and Taiwan
The world’s leading manufacturer of precision parts and components for 3C, smart phones, drones, wearable devices Hay:T01SZFC
Global electronic-grade epoxy resin technology giant Hay:C11GZHC
Chinese leading manufacturer of FPC/Flexible Circuits,FPCA/Flexible Circuit Assembly,Flexible Printed Circuit,Rigid-Flex PCB Hay:I04SZDS
Chinese leading manufacturer of ecologically functional polyurethane synthetic leather and polyurethane composite materials Hay:D01AHAL
Chinese leading manufacturer of 6-inch 4H conductive silicon carbide substrate, 6-inch N-type (conductive) silicon carbide substrate Hay:I01SDTY
Arris Composites carbon fiber truss wins 2020 Red Dot Design Concept Award
2020 Awards for Composites Excellence (ACE) winners announced
China’s leading semiconductor material technology company’s new 8-inch integrated circuit silicon wafer project officially put into production Hay:I01BJYY
Chinese leading manufacturer of ITO Sputtering Targets for thin film coatings in flat panel display, photovoltaic, microelectronics, data storage and optical applications Hay:A01GDSD
Sharp splits the display technology department and establishes a new company for Micro LED
China’s leading blue-green LED epitaxy and chip manufacturer has been approved for fundraising, and plans to focus on the development of Mini/MicroLED and GaN power devices Hay:I06WHHC
Chinese leading manufacturer of multilayer graphite sheet, Thermal pad,Vapor Chamber,Heat Pipe,Metal absorbing wave,EMC shielding and earthing materials Hay:F03BJZS
Philoptics builds 6th generation FMM/Fine Metal Mask production plant for OLED Displays
Using computer tomography (CT) technology to study composite materials
Cree, a global SiC and GaN material innovation leader, sells LED business to SMART Global Holdings, and will focus on silicon carbide business
China’s leading manufacturer of conductive silver paste, PV silver paste, filter paste builds a new production base for high-temperature silver paste, low-temperature silver paste and semiconductor materials Hay:I02CZJH
HRL laboratory released a new 3D printing process for ceramic matrix composites
MEIDENSHA CORPORATION builds a R&D and production base for core components such as motors and inverters for EVs and PHEVs in China
Chinese leading manufacturer of hydraulic vane pump Hay:U04NBWK
The precision ceramic structural parts manufacturer released ceramic cylinder liners for mud pumps, ceramic plungers for high pressure pumps, fully lined ceramic valves, ceramic flat membranes, and ceramic guide rails at the exhibition Hay:B03SDGY
Russia develops high-entropy alloys for aviation gas turbines with ultra-high strength and good ductility
China’s leading manufacturer of functional silane and nano-silicon materials builds a new silica aerogel production base Hay:F03JXHB
Australian renewable energy company Kinetic NRG designs and manufactures composite water turbine blades
Dutch Vabo Composites launches monocoque roof of large super yacht
The monthly production capacity of blue LED chips developed by China’s leading LED epitaxial wafers,gallium nitride LED chip manufacturers reaches 500,000 pieces Hay:I06SZZC
China’s ultra-flat copper-nickel alloy single crystal wafers, 8-inch graphene single crystal wafers, germanium-based graphene wafers and other new materials appeared at the 2020 China International Graphene Innovation Conference Hay:I01ZKSW
The advantages of Aluminum conductor composite core: ACCC
Chinese leading manufacturer of silicone feel paint, 3D glass photosensitive ink, PVD coatings set up Advanced Surface Treatment and Functional Coating Research Institute Hay:S07HNSJ
C/C composite materials are used as thermal field materials to effectively replace graphite thermal field materials in photovoltaic and semiconductor equipment
Chinese leading manufacturer of Gallium nitride/GaN substrate,Patterned sapphire substrate for GaN-LED devices Hay:I01GDZT
Rogers Corporation PORON® Polyurethane OLED under-screen liner solution to protect your mobile phone screen
Research progress of low-density resin-based ablation and heat protection materials
KRAIBURG TPE launches conductive TPE material with adhesion to polyolefin or polyamide
China’s leading manufacturer of 3D ultra-thin lithium aluminum silicate glass, PDP glass substrate, High aluminum ultra-thin touch screen protective glass Hay:B04SCXH
China’s leading manufacturer successfully developed silicon carbide nano-mirror coated graphite disks Hay:F03HNDZ
The SDZB area gathers polyurethane materials,chlor-alkali ion membranes,fuel cell membranes and other industries invisible champions
Chinese leading manufacturer of Active Metal Brazing (AMB) and Direct Bonded Copper (DBC) Power Electronic Substrates Hay:I04HZDH
Chinese leading Zirconium bronze alloy supplier Hay:A03ZZQY
Chinese leading ultralight Aluminum Lithium alloy (Al-Li alloy) supplier Hay:A03ZZQY
Chinese leading silicon aluminum wire and high purity aluminum wire manufacturer and supplier Hay:A03ZGYS
Chinese leading zirconium-based amorphous alloy supplier and manufacturer Hay:H06DGYA
Second to Futamura Cellophane Film,Chinese top PVDC/MS coated cellophane film manufacturer and supplier Hay:F08SDHL
The global leading Copper-clad aluminium (CCA) wire supplier creates a lightweight solution Hay:D04YTFX
Chinese leading Spherical molybdenum powder manufacturer and supplier Hay:A01JDMY
China leading iron-silicon-chromium soft magnetic powder manufacturer and supplier Hay:F05QDYL
China leading nanocrystallized ultra-thin alloy ribbon/strips manufacturer and supplier Hay:F05QDYL
China leading high-purity high-conductivity single-crystal copper ultra-fine cable/wire manufacturer and supplier Hay:A03XACJ
China leading molybdenum copper composite materials manufacturer and supplier Hay:D04JSDQ
China leading titanium matrix Composite parts manufacturer and supplier Hay:D04NJBT
China leading water-atomized copper-lead alloy powder manufacturer and supplier Hay:A03JHCK
China top aluminum-matrix composite materials: aluminum titanium clad composites manufacturer and supplier Hay:D04JSYB
China leading Tin Phosphor Bronze strips manufacturer and supplier Hay:A03AHXK
Digitization boosts the growth of China’s wire rope invisible champion company Hay:A02GZGS
Chinese graphene technology companies released results, and many graphene technologies reached the world’s leading level Hay:H02BJMX
Enapter selects Germany as its mass production base for anion exchange membrane electrolyzers
Continental’s interior material brand Acella’s decorative skin material won the award
Semiconductor materials giant Shin-Etsu Chemical’s market value exceeds 6 trillion yen
Kyulux and Soda Co., Ltd. cooperate to develop the third-generation OLED light-emitting material TADF
The global epoxy resin composites market will reach 42.92 billion U.S. dollars in 2027
Global leading manufacturer of crystal heat sink and sapphire heat sink for projectors Hay:F03HZMD
Carbon fiber prepreg post molding process,products and application fields
Research on torsion performance of 3D printed NiTi shape memory alloy tube
Chinese researchers have discovered copper diphosphide (CuP2) crystals with low thermal conductivity and high rigidity
Development status of global and Chinese superalloy industry in 2020
Chinese leading Heat Thermal Insulation Materials:Vacuum Insulation Panels Manufacturer Hay:F03JSSY
TUV Rheinland’s test confirms that HYZON’s new liquid-cooled fuel cell leads the world in terms of power density
Integrate Pixelligent’s optically transparent resin and Corning’s high refractive index glass to develop high-quality AR/MR optical components
Chinese leading manufacturer of regulating valves, butterfly valves for the petrochemical, power and energy industry Hay:U05ZJHQ
Binder jet 3D printer manufacturer ExOne develops binder jet 3D printing high-strength steel alloy (AF-9628) process for the US Air Force
Russia develops a new method for processing three-dimensional metallic glass to expand the scope of material application
Celanese Announces Expansion of GUR® Ultra High Molecular Weight Polyethylene (UHMW-PE) Production Unit at Bishop, Texas, USA
Formlabs releases high-strength Rigid 10K resin and ultra-fast Draft resin
Lawrence Livermore National Laboratory (LLNL) uses volumetric additive manufacturing (VAM) technology to develop a new class of materials
China’s leading automotive precision forging gear and precision forging manufacturer invested 1 billion yuan to build a new production base Hay:T03JSTP
China’s leading electromagnetic shielding and high thermal conductivity material solution provider builds a new production base Hay:F05SZFR
China’s leading manufacturer of microneedle electromagnetic shielding films and ultra-thin flexible copper clad laminates is growing into a global leader in high-end electronic materials Hay:F05GZFB
HP and Evonik launch thermoplastic elastomers for Jet Fusion 3D printing technology
Modellbau Clau uses DMG MORI’s Lasertec 30 Dual SLM 3D printer to achieve hollow structure and conformal cooling
Victrex and Daher jointly develop the next generation of thickened siding for aircraft based on VICTREX AE 250 UDT (PAEK polyaryl ether ketone) thermoplastic composite material
Xerox’s PARC will use AlphaSTAR simulation technology to 3D print turbomachinery parts
China’s leading manufacturer of wind turbine yaw,pitch reducer and high-precision rotary reducer Hay:U02NXWL
China`s leading amorphous alloy material manufacturer and CATL will jointly develop magnesium alloy precision shell structures for lithium batteries Hay:T02FJSX
China’s leading SOFC technology company successfully developed the first-generation SOFC kilowatt-class stack Hay:N01ZJQB
China’s leading OLED materials manufacturer plans to set up a subsidiary in Japan Hay:I01JLAL
Chinese leading manufacturer of Central Rotary Joint of Hydraulic Excavator,Hydraulic turning joint, hydraulic track adjuster cylinder and hydraulic valves for Construction Machinery Hay:R08JSCL
China’s leading manufacturer of precision parts for turbochargers and Precision parts for difficult-to-cut materials Hay:T09WXBS
China’s leading manufacturer of intelligent equipment and industrial robots Hay:R10JSHG
China’s largest manufacturer of pure nickel and cobalt metals successfully developed wide-width pure nickel belts/strips/sheets Hay:A01GSJC
Nicolas Correa showcased Bridge type milling machine FOX at China 2020 CIIE, machining accuracy reaches μ level
Bosch’s transformation and strategic layout
China’s leading micro camera module and fingerprint recognition module manufacturer successfully developed the thinnest periscope continuous zoom module Hay:F06SZOF
China’s leading single-photon sensor chip, photoelectric 3D sensor (dToF) chip manufacturer receives nearly 100 million RMB investment Hay:I06SZLM
Current molding process used for carbon fiber composite wings
Coronavirus (COVID-19) pandemic causes American Axle and Manufacturing Holdings to reduce its third-quarter sales by $87 million
Advantages of Toyoda FH1250SX-5 axis horizontal machining center in the field of large parts processing
Infineon: Will announce a new semiconductor investment plan in 2020CIIE
The transformation path of the world’s second largest auto parts giant:Continental Automotive
Chinese researchers successfully developed Ultrastrong, Superelastic ZrO2 -Al2O3 Nanofibrous Aerogels with High-Temperature Resistance over 1300 °C
In addition to high-end automobiles and cutting-edge machine tools, Germany also has an advanced semiconductor material industry
3 types of nitride powders and 8 application areas
Lidar and Laser Sensor startup Aeva May See $2 Billion Valuation From NYSE Listing
Chinese leading manufacturer of commutators, slip rings, connectors, multi-layer insulated wires for motor and electrical control system Hay:F04SZKZ
China’s leading manufacturer of ultra-fine diamond wires and alloy-coated (zinc) steel wire strands expands production capacity Hay:A02HNHX
AGC builds a 3D curved complex shape automotive display cover glass and 11th generation TFT-LCD glass substrate production line in China
Insight: China’s largest high-purity nano-level electronic ceramic material barium titanate, bioceramic material technology company Hay:B01SDGC
Macroscopic demand analysis of China’s high-performance polymer composite materials
Basanite patents basalt fiber reinforced polymer bar BasaFlex™
With both thermal insulation and fire protection functions, Chinese company and Huntsman jointly developed glass fiber reinforced polyurethane pultruded profiles Hay:D02SHJR
Dräger Medical, an international leading medical device manufacturer, invests in manufacturing in China
Apple supplier Luxshare Precision raises 3 billion yuan to expand production of mobile phone components and wearables
Fabrisonic’s Ultrasonic Additive Manufacturing–UAM technology brings more applications to 3D printing of metal electronic devices
The world’s leading IC solutions provider Realtek said fab capacity is tight
Shangneng Electric’s world’s first 250kW string inverter
China’s leading protective glove manufacturer will build a new UHMWPE fiber production base Hay:D01ZJKL
Nidec will build three electric car motor plants in Europe
After Tesla, Joyson Electronics supplies Volkswagen: the order value exceeds 10 billion yuan
China’s aluminum-silicon-magnesium alloy high-vacuum precision die-casting parts manufacturer’s net profit in the first three quarters increased by 57% year-on-year
Chinese Steel Cable Technology company successfully developed ultra-high fatigue strength steel cable nets for China`s FAST Telescope, the World`s Largest Single Radio Dish Telescope project Hay:A02GXOV
The global specialist in carbon fiber colorization technologies-Hypetex partner with SHD to provide colored carbon fiber prepreg solutions
Manufacturer of high-end titanium alloy rods, deformed superconducting alloys and superconducting wires aim to occupy 50% of the global market in the field of superconducting wires in 5 years Hay:A04XAXB
China’s leading manufacturer of nano spherical aluminum powder and aluminum-based alloy composite powder materials Hay:A05HNJH
Chinese Titanium/steel clad composite plate supplier delivered nickel-matrix composite to GE Hay:D04SXTC
Heraeus unveiled the world`s longest single fiber preform,12-inch semiconductor quartz process Kit,Semiconductor packaging level EMI electromagnetic shielding technology solutions etc at 2020 CIIE
Chinese high-temperature alloy 3D printing powder manufacturer’s new production line goes into production Hay:H01JSWL
Intuitive Surgical’s Da Vinci SP surgical system debuts in China
TI Fluid Systems, the world’s leading supplier of automotive fuel system technology, provides support for new Volkswagen ID.3 and ID.4 electric vehicle models
a leading test probe and test sockets expert, JF Technology partners with Huawei unit to set up plant for the manufacture and supply of high-performance test contactors in China
Optical interconnect technology startup Ayar Labs raises $35M for light-based chip communications
The world’s leading manufacturer of automotive-grade silicon carbide MOS tubes is currently the world’s only technology company that produces SiC JMOS products Hay:I06SHHX
Medtronic’s Intelligent Surgical Anastomosis Platform: Surgical Stapling Products appears at 2020 CIIE
Stratasys releases PEKK 3D printing production grade materials
The global only manufacturer of HVA wide and narrow viewing angle privacy panel for laptops Hay:I01KSLT
China’s leading supplier of CMOS image sensor and display driver chip successfully IPO Hay:I06SHGK
China’s leading silver alloy wire electrical contact material manufacturer builds a new electrical contact wire production base Hay:F04FDHJ
Asia Automotive Lightweight Innovation Summit will be held in January 2021
China’s leading semiconductor material technology company builds new semiconductor lead frame and AMOLED FMM production line project Hay:I04AHLD
China’s polyurethane foam filler and silicone sealant manufacturer reached cooperation with DuPont
Dow Chemical showcased silicone sealant products for silicone leather and freezer rooms and clean room systems at CIIE
Lens revolution, from meta-surface to meta-lens/metalens
AMD chips may be used in Tesla
Japan Kyulux uses AI technology to quickly find and synthesize luminescent materials
Tungsten carbide reinforced aluminum matrix composite
Micron Technology released the world’s first mass-produced 176-layer 3D NAND flash memory
China’s leading manufacturer of acoustics, optics, microelectronics, and precision structural parts provide more than 50% of the world’s high-end VR headset products Hay:F06SDGE
“Automatically controlled large-diameter pneumatic fast cut-off bellows gate valve” and “Double-stem globe valve” developed by China’s high-pressure valve technology enterprise passed authoritative appraisal Hay:U05AHTX
The new polishing method of single crystal diamond makes it possible to replace silicon
Escorted by high-strength titanium alloy materials, the
China`s lithium-ion battery isolation membrane, battery insulation material giant plans to build a factory in Hungary Hay:N02SHEJ
Fujifilm participated in the 22nd High-tech Fair with innovative products in high-performance materials and other fields
China’s carbonless paper Reagent hidden champion enterprise successfully entered the lubricant additive industry
China Laser Display Technology Corporation successfully officially released the Flexible Fresnel anti-light screen Hay:I06SZGF
UK Dymag plans to expand production scale of carbon fiber wheels
Avient Bergamid laser welding solution won the 2020 China Automotive and Parts Industry Development Innovation Award
Recticel acquires FoamPartner to strengthen specialty foam solutions business
Global leading manufacturer of HDI microvia interconnect printed circuit boards and IC substrates Hay:I04AUAT
China’s leading manufacturer of PVDC multi-layer co-extruded high-resistance thermal insulation shrink film packaging materials Hay:F08SZTJ
NAGASE and Asahi Kasei announce a distribution agreement to distribute Duranate™ isocyanate in the US
China’s nano-grating waveguide lens and reflective material technology companies develop micro-nano lithography machines to enhance the development capabilities of optical materials Hay:F06SZWG
The newly-built PMMA transparent sound insulation board for rail transit production line of China’s leading sound insulation board material manufacturer is put into operation Hay:F02ZJHS
Chinese Leading PRC-based Camera Module and Fingerprint Recognition Module Manufacturer Hay:I06JSQT
The
Global leading manufactrurer of semi-polar gallium-nitride (semi-polar GaN) for display and lighting industry Hay:I01USSA
Covestro showcases new materials for passports and ID cards: polyurethane and polycarbonate materials
South Korean university team jointly develops high-efficiency blue fluorescent material without rare earth materials
Infineon and GTAT: GT Advanced Technologies expands silicon carbide supply
Essentium has launched three new high-performance composite filaments[TPU,PET] specifically for applications in aerospace, defense, electronics and other fields
China Wear-resistant Steel Technology Company has developed corrosion-resistant, high-strength and ultra-thin wear-resistant steel plates to replace similar products of SSAB, JEF, and Nippon Steel Hay:A02HNHL
China’s high-performance RF front-end SAW filter technology company completes 500 million yuan of PreA-3 round of financing Hay:I06BJCC
Chinese leading manufacturer of optical communication module Hay:I06SDZJ
Merck Group and Insilico Medicine, an AI medicine research and development company, collaborate on the Chemistry42 generative chemistry AI platform
Framatome uses 3D printing technology to produce stainless steel and nickel-based alloy fuel assemblies to complete the first irradiation inspection cycle
China’s leading manufacturer of automotive lens, sensor lens, AR/VR lens Hay:F06JXLC
Avient launches ARTISAN™ high-gloss pre-colored PA6 as an alternative to spray paint
The manufacturer of large-diameter thick-walled seamless steel pipe successfully developed seamless steel pipe of martensitic heat-resistant steel [630℃] Hay:A04HBHR
Advanced ceramic matrix composite supplier obtained investment Hay:D05XAXY
Astrobotic partners with Bosch and WiBotic to provide technology for wireless charging and finding charging stations for its lunar rover CubeRover
Chinese leading manufacturer of Silicon carbide (SiC) power devices, silicon carbide chips, silicon carbide diodes, silicon carbide wafers Hay:I06BJTR
Evonik completed construction of its first polyamide 12 [PA12] plant in Germany
2020 Heraeus electronic silver sintering technology seminar ended successfully
Scania: Will invest 100 million euros to build a battery factory in Sweden Has signed a battery cell procurement agreement with Northvolt
Kawasaki and Medicaroid jointly developed the hinotori Surgical Robot System/hinotori Robotic Assisted Surgery System
China’s leading ITO conductive film glass information display material manufacturer builds a new R&D and production base Hay:B04AHKS
Chinese leading manufacturer of Precision metal components and structural parts for smart wearable and communication terminal expanded production capacity to meet Apple’s needs Hay:T01JSKS
The world’s largest AI chip developed by Cerebras Systems is 10,000 times faster than the leading GPU
Chinese leading manufacturer of Hydraulic turning joint, hydraulic adjuster cylinder, hydraulic valves for excavators,cranes and construction machines Hay:R08JSCL
China’s leading manufacturer of large complex thin-walled aluminum alloy, aluminum copper alloy for aerospace industry Hay:T02HBGY
SABIC launches new anti-fog film LEXAN HP92AF
Teijin Aramid plans to produce bio-based high-performance aramid fibers
Chinese ultra-thin electronic glass manufacturer realizes commercial production of 0.18mm ultra-thin electronic glass Hay:B04YCNB
China 3D structured light double-sided infrared reflective prism, high refractive index glass wafer manufacturer Hay:F06ZJLT
China’s largest manufacturer of magnesium alloy precision die-casting parts will invest 11.2 billion RMB to build a new production base Hay:T02NJYH
Harman, SONY, Samsung, Skullcandy smart audio SoC chip supplier Hay:I06SHHX
China’s leading filter technology company successfully developed the world’s first pair of 5G and WiFi coexistence filter modules Hay:I06AHYT
KIMS and RIST jointly develop the world’s first ultra-lightweight stainless steel
The technology of large castings for 620℃ ultra-supercritical thermal power units developed by a large Chinese casting company won the prize Hay:T02ZGEZ
Evonik provided 3D printed flame-retardant nylon 12 powder and ROHACELL lightweight foam materials for racing cars
Intellegens and GKN Aviation collaborate to improve the thermal conductivity of titanium alloys
The newly-built BOPET optical film production base of China Optical Film Technology Corporation was put into production Hay:C04SCDC
Continuous fiber 3D printing technology manufacturer Anisoprint unveiled two new engineering materials
Chinese technology company unveiled the world’s first 5G explosion-proof AR smart helmet Hay:Z01KLSZ
Apple AirPods soldering robot supplier, China’s precision soldering equipment and soldering robot invisible champion company Hay:V20CZKK
Hitachi,Toshiba,Mitsubishi,Panasonic,Sanyo,Daikin,Samsung,LG,Valeo`s precision air-conditioning compressor parts and refrigerator compressor components supplier Hay:T05ZJBD
China’s ultra-light magnesium-lithium alloy, high-toughness and heat-resistant magnesium alloy technology company cooperates with COMAC to jointly develop new materials in the aviation field Hay:A03HNHT
International leading SOD/Spin on Dielectrics and High-K materials[silicon oxide and silicon nitride precursor] manufacturer Hay:I01JSYK
Chinese leading manufacturer of Metal-matrix Composite contact Material,Composite Cladding Contact Materials,Thermostat bimetal Hay:F04WZHF
PowerCell Sweden AB, a Swedish fuel cell system technology company, receives an order from Inabata from Japan
China’s leading manufacturer of lithium battery precision structural parts invests 1.5 billion RMB to expand production capacity Hay:T02SZKD
China’s leading metal powder injection molding (MIM) precision structural parts manufacturer expands production capacity again Hay:T05CZJY
China’s leading zirconium alloy tube technology company provided nuclear fuel zirconium alloy cladding tubes for Hualong No. 1 Nuclear Power Plant Unit 5 Hay:A04ZHFM
Porotech launches the world’s first commercial miniature LED red light gallium nitride epitaxial wafer
SABIC LAUNCHES Radar absorbing PBT material LNP™ STAT-KON™ COMPOUNDS FOR AUTOMOTIVE RADAR SENSORS
Chinese leading manufacturer of Polyurea damping coatings, spray polyurea and other environmental functional polymer materials Hay:F01QDAE
China’s high-alloy/stainless steel bar and stainless steel plate manufacturers expand production capacity Hay:A02JSDL
China’s leading manufacturer of wind power spindles and precision drive shafts are developing rapidly Hay:T03SDJL
AUO exhibited 32-inch MiniLED surgical monitor
Global leading manufacturer of Industrial flexible packaging FIBCs bags,container liners, and other flexible packaging for chemicals,foods,pharmaceuticals Hay:F08JSGR
Rare earth high-strength steel plate and wear-resistant steel body manufacturer for XCMG XDM80 mining truck Hay:A02BTGT
After Unimin, TQC, the world’s third high-purity quartz sand supplier passed TEL, LAM, AMAT certification Hay:B04JSTP
Chinese leading manufacturer of flexible copper clad laminate/FCCL,conductive adhesive, ITO film Hay:I04SDJD
China Optical Film TAC/COP Material Technology Company builds special maleimide resin for flexible display and IC chip Hay:C04SCDC
Zeiss SMT provides the world’s flattest lens for ASML’s EUV lithography machine
The new factory of a Chinese manufacturer of precision aluminum alloy die castings passed GM’s audit and officially provide products for GM Hay:T02GDHT
The Chinese welding robot technology company invested by YASKAWA ELECTRIC applied for listing Hay:R10HZKE
Can be hot-filled at 85°C, Amcor releases new PET bottles
China’s stainless steel powder, soft magnetic material powder industry leader Hay:A05SDLW
FPC, SLP, HDI PCB supplier for Huawei, Apple, Sony, Google, HP Hay:I04SZPD
China Ultra High Strength Steel Corporation successfully mass-produced the Highest strength and high plasticity hot-dip galvanized duplex steel Hay:A02SHBG
Kaneka develops super heat-resistant polyimide PI film for 5G millimeter wave zones
IC Substrate,HDI,FPC,Semiconductor Test Board Supplier of Huawei, Intel, Qualcomm, Samsung Hay:I04SZXS
Asahi Glass and TCL Huaxing jointly build the 11th generation glass substrate production base
QuantumScape has made a major breakthrough in solid-state batteries
Chinese leading Infrared and laser crystal Materials and lens manufacturer Hay:F06AHGZ
The world’s first ±535kV flexible DC submarine cable with operating temperature 90℃ insulation material passed the test Hay:F04JSHT
Apple and Huawei`s precision lens component supplier Hay:F06TWDL
Chinese leading manufacturer of large-scale powder-insulated flat bottom tanks, vacuum-insulated flat bottom tanks Provide vacuum insulated liquid oxygen storage tanks for German customer Hay:Y08HZHY
Chinese leading manufacturer of Super large diameter, supercritical fully forged electric gate valve Hay:U05WHFM
China’s leading aerogel felt sheet and deep-sea buoyancy material technology company Hay:F03HNFR
China’s leading manufacturer of industrial control valve for petrochemical and coal chemical industries Hay:U05SHKW
Chinese leading manufacturer of Polyurethane magnet wires,Corona Resistance Magnet Copper Wire,Fine Magnet Copper Wire Hay:F05GZTY
Chinese researchers have developed a new material that can significantly cool down: polymer nanofiber (es-PEO) film
Chinese AGV technology company releases China’s first intelligent heavy-duty AGV at Bauma CHINA 2020 Hay:Y08TJLY
Teijin successfully developed a multi-material [carbon fiber/glass fiber + metal material] composite battery box
Chinese manufacturer of non-magnetic nickel-chromium-titanium alloy steel releases high-strain steel plates for deep-sea pipelines Hay:A02AGJT
HAWE Oil-Hydraulic launches intelligent Hydraulic Components and Systems at Bauma
China Carbon Fiber Structural Parts Company develops carbon fiber composite medical bed board Hay:D02JSBS
Wilo, the world`s leading manufacturer of pumps and pump systems, will build a new factory in China
The ultra-high toughness epoxy resin material developed by China Steel Bridge Deck Pavement Material Technology Company has been successfully applied to the world’s longest road-rail suspension bridge Hay:K08JSZL
The high-strength and toughness clean welding material developed by China High Performance Alloy Technology Company won the special prize of China Science and Technology Progress Award Hay:F01NBZJ
China PTFE Resin Technology Company successfully developed expanded PTFE microfiltration membrane for N95 mask Hay:F07SCZH
China Petroleum Equipment Technology Corporation develops new bimetal corrosion-resistant tubing casing Hay:A02SDML
China Palletizing robot Technology Company successfully developed China’s first climbing AGV robot Hay:R10HFJS
China’s ring forging hidden champion enterprise Hay:T03WXPK
China’s industrial valve invisible champion enterprise Hay:U05JSYD
China leading supplier of Sublimation Transfer Paper,Labelstock materials,Thermal materials,Carbonless Paper Hay:D10GDGH
China Basalt Fiber Technology Corporation builds a new production base for 5μm ultrafine Continuous basalt fiber Hay:D01CQZD
Carbon Fibre Reinforced Polymer: CFRP as an advanced ship hull structure
China high-strength fasteners and connecting parts for turbocharger leading manufacturer Hay:U07SHCJ
Chinese manufacturer of brazing aluminum clad and multi-metal clad material signs contract with Modine Hay:D04JSYB
China’s largest heavy & medium duty truck axles and construction axles manufacturing and export base Hay:Q02SXHD
China’s leading manufacturer of Patterned sapphire substrate PSS, sapphire ingots and sapphire filament holders Hay:B06FJSA
China’s leading automotive lighting LED chip technology company builds a new Mini/Micro LED production base Hay:I06GZHL
Apple’s Camera Modules and precision optical lens supplier has successfully developed high-end lead frames for semiconductor packaging Hay:I04SZOF
The world’s largest semiconductor material purification system manufacturer Entegris builds a factory in Taiwan for TSMC
China manufacturer of ultra-low temperature industrial valves and high pressure triple eccentric hard seal butterfly valves Hay:U05SHNF
China ultra-precision machining technology company won the award for Injection Molding and Rolling of Wide Ultra-thin Polymer Micro-structured Optical Elements Hay:T09HKUM
The 0.03mm UTG [flexible Ultra-Thin Glass] produced by the Chinese ultra-thin electronic glass manufacturer achieved 400,000 bends Hay:B04AHKS
China’s seamless stainless steel pipe manufacturer provides stainless steel seamless coils for the Beijing Winter Olympics ice pipes Hay:A02ZJCY
Chinese aluminum alloy high-pressure casting manufacturer builds a new intelligent production base for differential pressure casting Hay:T02GDXY
The heavy-duty assembly AGV robots developed by China’s auto industry AGV invisible champion company won the award Hay:R10SZHX
Apple and Huawei’s supplier successfully developed 0.3mm ultra-thin VC: Vapor Chamber Hay:F03SZOF
A Chinese manufacturer of sapphire ingots and sapphire substrates successfully grown 700kg-level super-sized sapphire crystals Hay:B06ZJJS
Chinese Light Gauge Foil|Double Zero Aluminum Foil manufacturer orders again Aluminium Foil Rolling Mills from Achenbach Hay:A03WHHX
Global leading high-conductivity CuCr contact supplier of Eaton & Bombardier Hay:D04XASR
China’s leading samarium cobalt permanent magnet material manufacturer Hay:F05NBNG
China’s aramid fiber and carbon fiber composite material manufacturer provides carbon fiber composite structural parts for China’s aerospace project Hay:D02HEBX
China vigorously develops high-performance copper composite materials industry
China Carbon Fiber Technology Corporation builds a new production base for carbon fiber precursors and carbon fiber reinforced composite materials Hay:D01GDJH
A Chinese auto tube structural component manufacturer builds an ultra-high-strength steel pipe hot-air forming production line Hay:T01GXQC
The leading manufacturer of Titanium-matrix clad metal composite plate Hay:D04JSZS
Chinese manufacturer of carbon fiber and Kevlar fiber reinforced composite materials develops carbon fiber box for lithium battery Hay:D02SZNE
Toray and Mitsui Marine develop FPSO/FSO carbon fiber composite repair technology
Siemens cooperates with 3D printing manufacturers such as Evolve Additive and MORF3D to upgrade XCELERATOR software
China’s largest single crystal nano copper wire, precision copper foil and flexible copper clad laminate production base was put into production Hay:A03SZZW Hay:A03SZZW
China’s aluminum-lithium alloy structural component manufacturer successfully developed aerospace aluminum-lithium alloy storage box Hay:A03HJYJ
China’s leading manufacturer of aviation precision parts and large-scale aerospace precision structural parts Hay:T09CDAL
Toshiba develops new magnetic materials to improve motor energy conversion efficiency
China’s leading manufacturer of plasma etching equipment and chemical film MOCVD equipment Hay:V20SHZW
Global leading manufacturer of aluminum alloy die-casting precision parts for automobile chassis,powertrain and radiators Hay:T02JSNT
China’s leading technology company in industrial robots and intelligent control systems Hay:R10SHXS
The world’s leading manufacturer of large precision injection molds Hay:T10QDHT
China’s largest gallium arsenide supplier won the award for the 8-inch silicon substrate polishing wafer for IGBT Hay:I01BJYY
Japanese ink chemical company DIC launches tabular alumina thermally conductive filler CeramNex™ AP10
Global Deep Groove Ball Bearing Rings and Hubs Supplier for SCHAEFFLER and SKF Hay:U03ZJJW
China carbon matrix nanotechnology company successfully developed graphene carbon nanocage and graphene coated metal Hay:H01ZGSH
China’s large bearing technology company won the first prize of scientific and technological progress Hay:U03DLWF
Tesla High voltage and high power MOSFET Chip Supplier for EV DC high-power charging pile Hay:I06SZDW
A Chinese manufacturer of electric vehicle drive systems provides automotive motors to Vitesco Technologies [formerly Continental] Hay:U01ZJWL
Apple and Amazon’s supplier of precision MIM metal structural parts for consumer electronics products Hay:T05SZFH
China’s leading supplier of wireless charging modules Hay:F05SZFR
Veelo Technologies Develops Specialty Materials and Manufacturing Technologies for Next Generation Aerospace and Defense Composite Systems
China Mould Company successfully developed a high-precision stamping and forming process for thin-layer stainless steel metal bipolar plates Hay:T10DLST
The Exclusive supplier of BYD blade battery separator Hay:N02SZXY
Porsche aluminum alloy body and structural parts mold supplier Hay:T10AHRH
China’s leading manufacturer of high alloy microwire and stainless steel welding wire Hay:A02ZJTL
China leading PCB photosensitive ink and UV curing coating manufacturer Hay:I04JSGX
China’s leading aerospace-grade special master alloy production line was put into operation Hay:A03HBST
Global leading glass protection cover plate supplier for Huawei and Samsung mobile intelligent terminal Hay:B04SZXH
Chinese leading electrophysiological catheters (EP) and cardiovascular delivery systems supplier Hay:P02SZHT
Industrial sensor giant Teledyne to acquire Flir Systems
Chinese iPhone lens supplier expands production capacity for iPhone 7P wide-angle lens and iPad 5P lens Hay:F06ZJSY
Mitsubishi Chemical will establish a carbon fiber thermoplastic/CFRTP pilot plant
Jindal Poly Film, a Global leading supplier of PET and BOPP films acquires nylon films manufacturer DOMO Films
Chinese leading Rare earth nano thermal insulation coating and Insulating rare earth PVB laminated film manufacturer Hay:F03CDYT
Global leading drive axle supplier for Benz, Scania and Volvo commercial Heavy-duty trucks and construction machineries Hay:Q02QDQT
Titanium-aluminum alloy engine blades for C919 large aircraft Hay:A04ZKYJ
China’s leading manufacturer of double wedge gate valves and plunger valves for MTO and propane dehydrogenation units Hay:U05ZGHT
China Electromagnetic Protection Materials and Graphene companies jointly developed graphene electromagnetic shielding coatings Hay:F05SXMX
China’s leading mineralized collagen/polyester artificial bone and collagen sponge supplier Hay:P01BJAJ
China’s leading tungsten, molybdenum,Aluminum Matrix boron carbide tubes and plates supplier Hay:A04BJAT
China titanium alloy pipe manufacturer successfully developed martensitic heat-resistant steel seamless pipe Hay:A02HBHR Hay:A02HBHR
The battery material mesocarbon microsphere production line of a Chinese needle coke manufacturer was put into operation Hay:B06HLBT
Chinese leading manufacturer of commutators, slip rings and connectors Hay:F04SZKZ
China leading fine electronic wire supplier of Amphenol[Apple connector supplier] Hay:F04ZJXY
Nickel Manganese Gallium Magnetic Shape Memory Alloy MSMA Ni50Mn28Ga22
China’s Top CMP polishing pad manufacturer builds new production base Hay:I03HBDL
Chinese company successfully developed ±800kV UHV dry-type DC insulating bushings Hay:F04TBSY
China exported a total of 224.2 billion KN95 masks and medical masks in 2020
China’s graphene battery is about to be commercialized and will be fully charged with 80% power in 8 minutes
The Coronavirus (COVID-19) virus inactivation rate of KN95 protective masks developed by Chinese KN95 mask manufacturers exceeds 99.0% Hay:P05JSYM
Apple’s machine vision lightings supplier, China’s largest machine vision technology company Hay:F06GDOP
Chinese medical mask manufacturer releases PTFE nano film KN95 mask Hay:P05ZJJH
High thermal conductivity graphene film production line officially put into production Hay:F03JSBX
Continental Structural Plastics: CSP develops a high-performance carbon fiber RTM process
Apple optics supplier Lumentum agrees to acquire Coherent
More than 90% of the structural parts of intelligent drones developed by China use carbon fiber materials
Toray uses Nano alloy® micro-structure control technology to create toughened polymers that can provide multiple applications
China’s largest aerospace carbon fiber composite material supplier Hay:D02WHGW
Chinese leading Silicon wafer manufacturer releases Mini/Micro LED magnetic mass transfer technology
HP and Evonik launch thermoplastic elastomer TPA for Jet Fusion 3D printing technology
China Special Pipeline Technology Corporation successfully developed a large expansion seamless expansion pipe for the oil field Hay:A02BTGT
Citrine Informatics AI+ material synthesis: looking for aerospace-grade 3D printed aluminum alloy
China Exoskeleton Robotics and Myomo form a joint venture company
DSM Stanyl® Diablo polyamide 46 (PA46) is used in automotive hot air pipes, which has better heat resistance than PA66
CuCrZr copper chromium zirconium alloy 3D printing powder Hay:H01XABL
The stainless steel ultra-thin Vapor Chamber supplier of Apple Hay:F03GDLY
China Carbon Fiber Technology Corporation successfully developed high temperature resistant carbon fiber Olympic torch shell Hay:D02SHSH
Novelis builds new automotive aluminum sheet production base in China
Mitsubishi Chemical Advanced Materials Corporation (MCAM) has developed a new series of thermoplastic structural composite materials KyronMax
China’s super Large die forgings supplier and manufacturer Hay:T02ZGYZ
SABIC helps Diab achieve a 50% reduction in PET core cell size
China’s leading refractory material manufacturer provides high-end refractory materials to China Satellite Launch Center Hay:F03BJJY
Chinese leading GRP/Glass Reinforced Plastic and GRE/Glass Reinforced Epoxy Piping System manufacturer Hay:D02NJXH
Polytek announces the acquisition of Endurance Technologies
China’s leading manufacturer of IXPE (irradiation cross-linked polyethylene) foam Hay:C05ZJRY
Hexcel develops new technology HexPly®XF for surface treatment of wind turbine blades
Toray has developed a new processing technology that can reduce the cost of CFRP
BASF Infinergy e-TPU/Expanded thermoplastic polyurethane particle foam
Arkema announces to increase production capacity of Kynar(R) fluoropolymer/PVDF in China
Toray Industries successfully developed ultra-thin graphene dispersion solution
3D Systems and Huntington Ingalls collaborate to develop 3D printed corrosion-resistant alloys
Teijin releases new aerospace-grade carbon fiber intermediate materials Tenax PW and Tenax BM
Desktop Metal launches fully dense and sinterable 6061 aluminum material
BASF launches carbon fiber-reinforced Ultramid Ultramid® Advanced that can replace ultra-light components made of aluminum and magnesium
Die steel supplier of BMW, Mercedes-Benz ThyssenKrupp and CLAAS Hay:A02SCPG
The high-pure rare earth ferroalloy made in China has reached the international leading level Hay:A06BFXT
The continuous fiber reinforced silicon carbide ceramic matrix composites supplier Hay:D05XAXY
The global largest manufacturer of ultra-large and ultra-thick copper-nickel alloy pipes for ships Hay:A03GSJC
Chinese nanofiltration/reverse osmosis membrane manufacturer’s new production base is put into use Hay:F07ZGSH
China leading Titanium/copper based amorphous alloy manufacturer Hay:H06CZPX
China’s leading manufacturer of aerospace-grade titanium alloy rods and wires Hay:A04SXTC
The Chinese biggest ultra-high temperature insulation aerogel felt, aerogel insulation board and cold insulation aerogel manufacturer Hay:F03GDEL
China successfully produced W44 high-strength heavy-duty H-beam Hay:A02MGJT
China High Corrosion Resistant Zinc Aluminum Magnesium Hot Dip Coated Steel Sheet Manufacturer Hay:A02HNGT
The leading manufacturer of tank heads in China introduced equipment for large elliptical heads and hemispherical heads Hay:T03HNSZ
China leading spherical quartz powder/spherical silica powder and ultrafine fused zirconia manufacturer Hay:B04AHZH
Corning exhibits at Touch Taiwan 2021 to showcase Ribbon Ceramics’ latest glass technology
Chinese research team successfully prepared high-temperature stable and highly conductive graphene film
Basalt fiber is a lightweight material for automobiles
Evonik launches new 3D printing photosensitive resins INFINAM® TI 3100 L and INFINAM® ST 6100 L
Gallium nitride chip supplier Navitas plans to go public
The largest aluminum alloy monolithic forged ring for the space station Hay:T03ZLXN
WACKER launches DEHESIVE®eco, a non-petrochemical silicone coating product suitable for release paper and film
NUST MISIS and LG Electronics jointly develop new alloy materials for heat sinks and cooling systems
China’s ultra-thick zinc-aluminum-magnesium alloy coated steel plate successfully entered the photovoltaic field Hay:A02JGJT
The European Federation of Corrosion approved the award of Professor Li Xiaogang with the honorary title of Honorary Fellow in 2021
Hexagon Purus provides high-performance type IV hydrogen cylinders to American hydrogen fuel heavy truck manufacturer Nikola
Global leading supplier of molybdenum, tungsten, tantalum, niobium and chromium parts Hay:A04ASPL
SABIC launches glass fiber Composite thermoplastic solution for electric vehicle battery technology …
Global’s largest manufacturer of rare earth polishing materials Hay:A06BTTJ
New product release: 0.07mm ultra-flat stainless steel precision strip and non-textured surface stainless steel precision strip Hay:A02TGJT
Solvay and Carbon22™ collaborate to develop Creed™ cannulated screws for orthopedics
Covestro thermally conductive, highly transparent polycarbonate Makrolon® TC for extreme environment LED lights
China successfully developed the world’s first fully austenitic stainless steel die-forged main pump casing Hay:T03ZGYZ
Chinese company participates in ISO/NP 6819 Steel wire rod for bridge cable wire Hay:A02ZXTG
Continuous Composites and Siemens Energy collaborate on continuous fiber 3D printing (CF3D®) technology
The silicon carbide particle-reinforced aluminum-based composite material developed in China was successfully applied to the Mars rover Hay:D04ZKJS
Ultimaker adds PETG consumables to industrial 3D printing platform
A Chinese company builds a large-scale LCD glass substrate and UTG ultra-thin flexible glass production base Hay:B04HBDX
China’s leading ceramic thin film circuit technology company Hay:I04SCKE
Carbon fiber textile manufacturer Sigmatex and CCM Hockey deepen long-term partnership
Stratasys launches ABS carbon fiber material for F123 series 3D printers
Toray TAC Company introduces NIJVERDAL new high temperature press to improve the production capacity of thermoplastic composite materials
China’s high-precision metal Precision special-shaped wires,bars and tube manufacturer successfully entered Apple’s supply chain Hay:A05GZZS
UNITED PANEL-SYSTEM/UR uses BASF Elastopir® to produce high-performance polyurethane rigid foam insulation panels
China T1000 and T1100 carbon fiber material manufacturer Hay:D01WHGW
China’s leading manufacturer of ultra-pure aluminum, aluminum foil, high-strength and high-toughness aluminum alloy and high-silicon deformed aluminum-silicon alloy Hay:A03XJZH
China supplier of aviation aluminum sheet for Boeing and Airbus Hay:A03SDNS
China’s aluminum nitride ceramic substrate manufacturer develops aluminum-silicon packaging for Mars rover Hay:I04HFSD
China’s graphene thermal film manufacturer successfully enters Huawei’s supply chain Hay:F03CZFX
Teijin joins Aerospace Innovation Centre to enhance the advantages of carbon fiber composite materials for aerospace application
China’s leading aerospace hard-to-deform metal material annular forging manufacturer successfully IPO Hay:T03GZHY
China’s graphene anticorrosive coating manufacturer’s technology won the first prize of science and technology Hay:M02FJXH
China’s high-end nano-microsphere material manufacturer Hay:F07SZNW
Chinese manufacturer of cadmium telluride power generation glass successfully commercializes the world’s thinnest 0.12mm ultra-thin float electronic touch glass Hay:B04AHBL
Polarizer PVA film
The world’s leading manufacturer of large ring forgings successfully IPO Hay:T03JSHL
PFSA membranes/proton exchange membranes (PEMs) supplier of Ballard Power Systems Hay:N02SDDY
China’s leading manufacturer of aramid spunlace insulating non-woven fabrics Hay:D01JSHZ
CRP Technology launched a new polyamide-based carbon fiber filled composite 3D printing material Windform RS
Wear-resistant and corrosion-resistant copper alloy
China’s leading manufacturer of flexible expanded graphite bipolar plates and flexible graphite plate stacks Hay:B01GDGH
Teknor Apex launches halogen-free flame-retardant glass fiber reinforced nylon 66 composite material
China’s carbon-based composite stack and metal plate manufacturer provides metal bipolar plates to Cell Impact AB Hay:B01BJQP
Toray establishes high-performance resin research center in Europe
China’s leading Ti4O7 titanium suboxide ceramic electrode manufacturer Hay:B01ZKYT
China’s 3K small tow carbon fiber and 50K large tow carbon fiber manufacturers expand production Hay:D01JLTG
China’s leading manufacturer of under-display fingerprint filters Hay:F06SZJB
China’s leading non-oriented top silicon steel manufacturer provides stator cores for super-large hydroelectric generators Hay:F05BWJT
China Power Grid began to use exoskeleton robots Hay:R10SHAS
CRP Technology launches Windform® RS polyamide-based carbon fiber filled composite material
China leading high-end medical titanium alloy and related medical devices manufacturer Hay:P05XAJZ
China’s new low-temperature high-magnetic induction oriented silicon steel (HiB) production line is officially commercialized Hay:F05TYGT
EFFICIENT BIOGAS UPGRADING WITH SEPURAN® GREEN MEMBRANES MADE BY EVONIK
China’s leading manufacturer of IRG Infrared Chalcogenide Lenses & Glass Hay:F06ZGJC
Cerebral Vascular Aneurysm Embolization Coil System made in China obtained FDA certification Hay:P02SHWB
Development direction of China’s graphene industry: high thermal conductivity graphene solid state temperature plate…
Application of Alvant Aluminum-Matrix Composite materials in auto parts
NEDO has developed a new type of carbon fiber reinforced composite prepreg
Chinese high-purity copper manufacturer realizes commercial production of 5N high-purity copper and 6N high-purity copper Hay:A01NBJX
High performance aluminum nitride ceramics
China’s 12-inch wafers successfully achieved commercial production Hay:I01HFZW
China leading curved glass cover and UTG glass manufacturer for mobile phone Hay:B04HKTM
China’s leading manufacturer of aerospace aluminum alloy die forgings successfully delivered Heavy Duty Gas Turbine Turbine Disk Hay:T03SDNS
China’s BUTYL RUBBER REACTIVE BONDING LAYER FOR PRE-PAVING REACTIVE-BONDING WATERPROOFING COILED MATERIAL obtained EPO invention patent authorization Hay:K02BJDF
STMicroelectronics Manufactures First 200mm Silicon Carbide Wafers
China’s leading Mixed Reality (MR) Smart Glasses technology company successfully developed a Ultra-thin two-dimensional diffractive waveguide lens Hay:F06SHYC
China’s leading manufacturer of ultra-thin precision alloy steel strips for precision stamping Hay:A02SZXL
The world’s leading manufacturer of submicron spherical silica powder and spherical alumina powder Hay:B04JSLR
SABIC launches LEXAN™ ISCC certified polycarbonate film and sheet
Bosch,ZF and BORGWARNER’s aluminum alloy precision die castings supplier Hay:T02JSRT
Chinese biodegradable material manufacturer adopts BASF technology to build new production base Hay:C03SHTC
ROTH develops a new hydrogen tank filament winding production process system
Chinese yaw pitch bearing manufacturer successfully developed single-row tapered spindle bearing for 4.XMW wind turbine Hay:U03DLWF
China’s leading CMP polishing pad manufacturer achieves sales more than 10,000 CMP pads per month Hay:I03HBDL
Apple and BMW`s high-strength and high-toughness aluminum alloy supplier Hay:A03SDCX
China’s leading collaborative robot manufacturer wins order from Toyota Motor Hay:R10SHJK
China’s leading lithium battery liquid cold plate manufacturer builds new production line Hay:F03SZRT
Global market structure of rare earth hydrogen storage materials
China’s largest graphene powder manufacturer develops products with better performance Hay:H02CZDL
China has made progress in the research of high-strength and high-corrosion magnesium alloy materials
Application of Fumed Silica in Thermal Insulation Materials
Intel and JSR’s 193nm photoresist supplier Hay:I03JSBK
China’s leading manufacturer of ultra precision micro-shielding covers/MEMS micro-microphones with precision micro-electronic components and semiconductor chip test probes Hay:T01SZHL
China’s leading precision parts and components manufacturer builds a new manufacturing base for large-scale gearbox parts for wind turbines Hay:T09SZGD
Graphene thermal film developed by China Graphene Technology Company helps OPPO achieve three-dimensional heat dissipation Hay:F03SZSR
NIKE and Adidas`s shoe material polyether polyol (PPG) and Polymer Polyol (POP) supplier Hay:C05SDLH
China’s largest manufacturer of high-pressure hydrogen storage cylinders for hydrogen fuel cells and drones successfully developed 70Mpa Type IV hydrogen cylinders Hay:N04SZZC
Pepsi and Unilever bottle-grade PET chips supplier Hay:C02JSSF
China’s first 12-micron online silicon-coated release film production line successfully realized commercial production Hay:C04SDKH
China’s leading manufacturer of large-size precision hot die forgings Hay:T03GLFD
China leading Nonliner/Laser Crystals and Precision Optics Components manufacturer Hay:B06SDHT
China’s total knee surgery robot achieves commercial production Hay:R10BJHH
Teijin starts selling Solfrio, a paint that can be used for heat insulation and protection of various materials such as CFRP
China’s leading manufacturer of high-strength and wear-resistant copper alloy precision parts for high-pressure plunger pumps Hay:A03NBZY
Global leading titanium clad steel composite sheet supplier Hay:D04XATL
China’s leading manufacturer of SiO2 porcelain and aluminum honeycomb panels Hay:K01NBHS
Essex Furukawa Wins Major EV Project with High Voltage Winding Wire/HVWW
Chinese manufacturer of high-strength corrosion-resistant steel successfully commercializes ultra-pure high-performance rare earth steel Hay:A02SDXW
Rassini selects HexPly M901 prepreg system to develop automotive leaf springs
China’s concrete admixture invisible champion enterprise Hay:’K08JSSB
ON Semiconductor will acquire global leading SiC ingot manufacturer GTAT/GT Advanced Technologies
China’s leading vanadium-titanium wear-resistant material manufacturer`s new production line put into production Hay:F01SCML
China’s only manufacturer of PVC ultra-low temperature insulation material for LNG carrier Hay:F03ZJPS
The products of Chinese high-performance rope manufacturer has been successfully used in aerospace and deep-sea engineering Hay:D01QDHL
China’s leading manufacturer of superalloy and titanium alloy precision forgings signs long-term supply contracts with GE and Rolls-Royce Hay:T03WXPK
The wide-width ultra-thin iron-based nanocrystalline ribbon developed by a Chinese nanocrystalline ultra-thin ribbon manufacturer won the award Hay:F05QDYL
China’s graphene and carbon nanotube technology industrialization
The supplier of Rectangular Enameled Aluminum Wire and Copper Clad Aluminum Wire for Nidec, Siemens, Schneider and ABB Hay:F05ZJXD
Chinese composite wind turbine blade manufacturer obtains IECRE international certification Hay:D02ZZSD
China’s metal soft magnetic powder core manufacturer successfully developed copper-iron co-fired alloy inductors (cores) Hay:F05SZBK
China successfully commercializes liquid rubber for 5G high frequency copper clad laminates Hay:C05BJHG
China’s fourth-generation semiconductor material: Gallium antimonide substrate production line realizes commercial production Hay:I01ZKBD
Chinese manufacturer of high-strength aerospace aluminum alloy successfully developed high-strength aluminum plate for LCD backplanes Hay:A03ZLXN
LG Chem claims its Real Folding Window beats folding glass
Developed polyurethane (PU) sandwich insulation board based on BASF’s Elastopir insulation material Hay:F03SDWS
Leading Microchannel Plate/MCP supplier Hay:T09SSGJ
Motorcycle Engine Crankshaft Supplier of BMW, Harley, Honda and PIAGGIO Hay:T06SDDS
China’s silicon carbide wafers and silicon carbide substrates invisible champion technology company Hay:I01BJTK
Ajinomoto Build-up Film/ABF insulation film
China’s Ultra-Precise Mirror machining and anti-fatigue parts manufacturer Hay:T09SDHY
Chinese high-purity indium manufacturer accounts for 40% of global indium reserves Hay:A01YNXY
SGL carbon’s new SIGRATHERM® carbon fiber soft felt production line was put into operation
The large-sized quartz crucible developed by the Chinese manufacturer of super-sized sapphire crystals successfully rolled off the production line
China’s leading manufacturer of Nanocrystalline Magnetic Shielding Sheet and cores Hay:F05SZYN
China’s leading manufacturer of CMP polishing pads and (PI)/Polyimide paste builds a new production base and puts it into production Hay:I03HBDL
Vermicular Graphite Cast Iron Technology for Diesel Engine
China’s leading manufacturer of micro-nano composite lightweight refractory metal-matrix thermal insulation materials Hay:F03HNWN
Manufacturer of large-scale nickel-based superalloy forgings and nickel-based fine-grained bar forgings in China Hay:T03ZGYZ
China’s BWFRP fiber braided pultruded cable protection sleeve was successfully applied to the cross-sea bridge project Hay:D02SZXB
China’s leading nano Silver Nanowire transparent conductive film manufacturer Hay:F04SZNF
China’s leading silicon carbide porous ceramic material and porous media combustion technology company Hay:B03GDZY
The largest single piece of glass in the world made in China Hay:B04HNBB
KULR applies aviation-grade carbon fiber thermal management technology to super sports cars
China’s leading micro-nano structure anti-counterfeiting optical film manufacturer releases multiple new products Hay:C04SZWG
Chinese leading Fe-based amorphous/nanocrystalline ribbon and amorphous transformer cores manufacturer Hay:F05NBZJ
China’s high-purity ultra-fine zirconium dioxide manufacturer raised prices Hay:B08GDDF
Chinese company acquires LG Chemical OCA/Optically Clear Adhesive Film Division Hay:C04SZZZ
Avient releases Versaflex™ CF6668 low-viscosity buildup TPE for protective film adhesion layer
China leading AG anti-glare glass manufacturer Hay:F04SZNB
Corning’s Gorilla Glass project settles in mainland China for the first time
China builds the world’s largest production base of colored nylon 66 undiluted fiber Hay:D01HNSM
Global leading wearable robot and Intelligent Powered Prosthetic Knee technology company Hay:R10SZJX
The leading High-performance insulation and heat dissipation material supplier for BYD Blade Lithium LiFePO4 Battery Hay:F04SZSW
China’s leading manufacturer of nanoporous aerogel composite thermal insulation sheet and aerogel fire blanket Hay:F03HBAB
China’s advanced silicon carbide ceramic structural parts manufacturer receives 200 million yuan investment Hay:B01SHSZ
The high-strength and high-corrosion-resistant magnesium alloy materials Hay:A03ZKNJ
China established Aerospace [carbon fiber composite structural parts and advanced ceramic] Materials Research Institute: Hay:D02JSHY
China’s leading rare earth glaze antibacterial ceramics manufacturer Hay:B02BTZK
China leading high-strength fastening systems manufacturer for wind power Hay:U07JSZC
Cevotec,SGL Carbon and GKN jointly develop automated production technology for composite sandwich structures
Chinese high-temperature alloy manufacturers provide high-temperature resistant N08810 nickel-based alloy extra-thick plates for cold hydrogenation reactors Hay:A04TGJT
Chinese leading free machining AlBe Alloy/ Copper Beryllium (UNS. C17300) Rod and Wire manufacturer for high precision connector and micro parts Hay:A03ZZAM
Hexcel and HP Composites Develop Body Panels for Supercars
The Global’s largest ultra-fine palladium-platedbonding wire and single crystal nano-copper bonding wire manufacturing base put into production Hay:I04ZJZW
Chinese leading beryllium metal and beryllium alloys sheet and parts manufacturer Hay:A01NXXB
China’s leading manufacturer of high-strength wear-resistant steel successfully developed high-toughness wear-resistant steel for ultra-low temperature applications Hay:A02HGHG
A Chinese manufacturer of ultra-thin aluminum foil/panels successfully developed the world’s first all-aluminum mobile phone screen back panel Hay:A03JSDL
Corrosion & High Temperature Resistant Alloys Pipe/Tube manufacturer Hay:A04ZJJL
Hydrogen fuel cell metal bipolar plate manufacturer releases carbon-coated metal plates Hay:B01SHZZ
Baker Hughes and Primus Line collaborate on non-metallic composite pipe applications
TWYJ will provide precision optical lenses for Apple AR/VR devices Hay:F06TWYJ
China’s largest para-aramid fiber and meta-aramid fiber manufacturer builds a new production base Hay:D01YTTH
Chinese leading supplier of high-temperature resistant cross-linked polyethylene material (PEX) for reinforced thermoplastic composite oil and gas pipelines Hay:C02SDLQ
Ceramic nanofiber/ultra-light and super-elastic ceramic fiber aerogel heat-resistant thermal insulation material won the gold medal Hay:F03ZJFR
LANXESS adds natural fiber-reinforced PLA matrix to its Tepex series of continuous fiber-reinforced thermoplastic composite materials
Renegade Materials Corp./Teijin’s U.S. subsidiary increases production capacity of high heat-resistant carbon fiber prepregs for aerospace application
Chinese leading para-aramid fabric manufacturer builds a new para-aramid fiber production line Hay:D01HNSM
The silicone foam seal for lithium battery supplier of CATL, BYD and Tesla Hay:C06ZJTY
Chinese leading Aerogel insulation board with A2 fireproof performance supplier Hay:F03SXHY
China’s graphene powder and graphene composite conductive agent manufacturer won the first prize Hay:H02FJKN
Chinese leading magnetic material and electronic ceramic materials manufacturer Hay:F05GZLF
Global Leading Tantalum Niobium Compound and Tantalum Niobium Metal and Alloy Supplier Hay:A01GZXM
China’s low-temperature insulated three-core superconducting cable manufacturer establishes kilometer-level high-temperature superconducting cable demonstration line Hay:H07SHGJ
The ultra-high-purity aluminum and tantalum sputtering target technology won the prize Hay:A01NBJF
Chinese GaN epitaxial wafer manufacturer builds a new 8-inch high-resistance silicon RF GaN epitaxial wafer production base Hay:I01SZJZ
Chinese manufacturer of PU synthetic leather/polyurethane synthetic leather provides Google with ecologically functional polyurethane composite materials Hay:D02HFAL
The Asia’s thickest [650MM] high light transmission acrylic/PMMA sheet manufacturer Hay:C02JSTC
Chinese leading Maglev turbo blower and Magnetic suspension turbine vacuum pump manufactrurer Hay:U01SDTR
EnergyTrend invests in Transphorm to deepen GaN layout
Chinese leading Graphene Heating Film and Graphene Transparent Conductive Film supplier Hay:F03NBRT
LG Chem and Toray jointly build a lithium battery separator joint venture
Chinese hot-pressed NdFeB magnet and samarium cobalt rare earth permanent magnet invisible champion enterprise Hay:F05CDYH
TERADYNE and ADVANTEST probe supplier invest in a new MEMS process wafer test probe production line Hay:I03SZHL
Precision micro stainless steel/copper/aluminum alloy capillary and micro diameter titanium alloy tube supplier Hay:A05SZYF
Chinese leading supplier of noise barrier [with high-performance porous sound-absorbing material/foamed aluminum sound-absorbing core]for high-speed railway Hay:F02JSRQ
The leading supplier of Micro ultra-precision precision transmission parts and micro transmission system for Bosch and Huawei Hay:U02SZZW
The global leading Hydrogen fuel cell graphite bipolar plate, PECVD Tubular graphite boat and graphite MEMS nozzle supplier Hay:B06SHHF
Jenoptik strengthens global photonics business with the acquisition of Berliner Glas Medical and SwissOptic
Chinese leading Light Field AR HUD supplier and BMW Cooperative development of AR HUD in the automotive field Hay:F06BJWL
New forged aluminum steering knuckle/suspension system and high-strength lightweight chassis parts production base put into production Hay:Q02NBTP
The high-strength and high-conductivity catenary copper-chromium-zirconium wire developed in China can achieve a conductivity of up to 83% Hay:F04TJZT
China’s leading precision die-forged crankshaft manufacturer’s newly-built production base for automotive precision crankshaft forgings was put into operation Hay:T03SCZC
Chinese 6-inch conductive/semi-insulating silicon carbide substrate wafer and sapphire crystal production base put into production Hay:I01ZJJS
Chinese leading aerogel powder and aerogel insulation felt supplier Hay:F03SZZN
China’s leading carbon fiber composite material manufacturer develops carbon fiber sucker rods Hay:D02JSAS
Chinese leading large precision titanium alloy die forgings and aluminum alloy die forgings supplier built a new production base Hay:T03XASJ
China’s second PFSA Proton Exchange Membrane Used in Fuel Cell manufacturer achieves commercial production Hay:N02WHQN
Precision screw rotors and hydraulic valve blocks Supplier of Bosch Rexroth, Ingersoll Rand, Atlas Copco… Hay:T09HBHG
Chinese leading optical-grade BOPET film and CPP protective film manufacturer’s new production base is put into production Hay:C04ZJJM
The pressure-resistant glass bulb developed by the Chinese ultra-light and high-strength hollow glass beads manufacturer passed the 11,000-meter deep sea pressure test Hay:B04AHKS
Solvay and OEM 9T Labs collaborate to introduce carbon fiber reinforced plastic (CFRP) components into mass production
China’s high-performance rare earth steel: wear resistance, corrosion resistance and crack resistance Hay:A02JXXY
China’s leading perfluorinated sealing ring for semiconductors and LCD panels establishes the most advanced production line in Asia Hay:U07SHXM
Chinese leading In-situ replacement anchor and intelligent anchoring connection system supplier Hay:U07DLFS
SCHOTT Xensation® α a lithium alumino-borosilicate (LABS) cover glass/ultra-thin glass (UTG)
The prism of the periscope lens developed by the Chinese manufacturer of absorption and reflection composite filters has been delivered in batches Hay:F06ZJSJ
The global leading supplier of SiC coated graphite base for semiconductor chip equipment Hay:I03GZZC
China established a magnesium-based energy storage material innovation consortium
Huawei invests in China’s leading ultra-fine and high-purity silica, alumina, boron nitride and aluminum nitride supplier Hay:B01SZJY
The leading Special Shaped Section Tube and steel-aluminum composite pipe supplier of Volvo and Caterpillar Hay:A05SDHL
The global leading Freeform Prism& Holographic Waveguide Optical Module supplier Hay:F06BJND
The leading on-board chargers and on-board DC/DC converters supplier for EV/Electric Eehicles Hay:N06ZJFT
Chinese leading supplier of High-speed motor with 80,000 rpm for marine Hay:U01DZHL
The global leading Building seismic isolation rubber bearings and building energy dissipation dampers supplier Hay:F01YNZA
China’s Multilayer corrosion-resistant nanofilm/double-layer liquid- and gas-proof nanofilm manufacturers’ revenue declines Hay:C04JSFW
Strong and tough dual-enhanced nanocrystalline for aero-engine bearings won the first prize Hay:A02SDXW
Gradient microstructured semi-duralumin developed by Chinese company increases tensile strength of single wire by 50% Hay:F04ZGDY
Chinese Super Large Casting and Die Forging Manufacturer Hay:T02ZGEZ
Sumitomo Metal Mining to mass produce silicon carbide wafers
China`s leading supplier of Carbon fiber three-dimensional weaving Provide carbon fiber 3D preforms for the Beijing Winter Olympics torch Hay:D02JSGL
Chinese ultra pure ferritic stainless steel supplier Hay:A05SXTG
China’s leading low temperature stainless steel pipe manufacturer for LNG Hay:A02ZJJL
Chinese leading Weld Electrode Overlaying Strip and Super Corrosion Resistant seamless stainless steel tubes supplier Hay:F01ZJZD
Carbon fiber reinforced ceramic matrix composites manufacturer Hay:D05HNFR
Chinese leading colored rare earth zirconia nano powder and rare earth thermal barrier coating material supplier Hay:B01NMGJ
Spectral heat storage fiber developed by China’s leading flame retardant nylon fiber manufacturer was successfully used in the Beijing Winter Olympics Hay:D01SHAT
The leading copper alloy tube and heat exchange finned tube supplier of A.O Smith Hay:A03JSCL
China High Purity Alumina Structural Parts Manufacturer Successfully Developed Oversized High Purity Alumina Ceramic Grinding Disc and Double Concentric High Purity Alumina Cylinder Hay:B01JXZK
China`s leading Graphene Electromagnetic Shielding Film/foam, Graphene Thermal Conductive Film and Graphene Conductive Film supplier Hay:F05WHHX
Graphene textile flexible heating material was successfully used in the Beijing Winter Olympics Hay:F03BJAS
The only special-shaped octahedral diamond manufacturer in China Hay:B06HNLL
Chinese high-purity aluminum manufacturer successfully developed high-purity aluminum above 6N (99.9999%) grade Hay:A01XJZH
China leading Bio-based/Biodegradable BOPLA (Biaxially Oriented Polylactic Acid) Film supplier Hay:C04XMCS
Evonik’s Vestakeep PEEK plastic gears are used in automotive transmissions for the first time
The leading High strength and high conductivity copper alloy supplier of Siemens, ABB, Eaton and Schneider Hay:A03SXSR
China’s leading manufacturer of marine heavy-duty anti-corrosion materials Hay:M02QDDE
Chinese leading Cermet Bar/Strip/Plate/sheet supplier Hay:B01CDMS
II-VI Inc. to increase SiC substrate capacity 6-fold
The leading PTFE microporous/Nano Waterproof, breathable and sound-transmitting Membrane and ultra micro filtration fiber supplier Hay:F08SDSR
The leading stainless steel and titanium MIM mobile phone precision hinge supplier Hay:T05USAF
China’s leading high-purity carbon fiber thermal insulation felt manufacturer Hay:F03GSFL
The nanocrystalline glass cover supplier of Huawei Hay:B04CQXJ
IsoTruss to accelerate industrial production of IsoTruss® carbon fiber grid towers
China High Performance Graphene Micro/Nano Cavity Phase Change Vapor Chamber Manufacturer Hay:F03GDMR
Bcomp & KTM-Technologies finalists for JEC Innovation Awards
ISO 23717:2022 Steel wire and wire products – Hose reinforcement wire drafted by the world’s largest manufacturer of steel cord and bead wire officially approved for publication Hay:A02JSXD
Hyosung invests US$38.5 million to expand fourth carbon fiber production line
China ultra high purity copper target, copper alloy target, high titanium purity target and high purity tungsten target supplier Hay:A01BJYY
The Nano Smart Energy Absorber supplier of Under Armour and Kappa Hay:F01SXTR
The global leading hydraulic Valve and supplier of KION,Hyster,Linde and Jungheinrich Hay:R08ZJHH
China-made wide-width pure nickel belts replace similar products imported from Japan Hay:A03GSJC
The leading low oxygen/high purity α-Si3N4/β-Si3N4 powder supplier Hay:B01SDZK
Chinese manufacturer successfully achieves mass production of super-large integrated aluminum alloy die-casting structural parts Hay:T02GDWC
Saertex launches pultrusion line for wind turbine blades
China Ultra-low Temperature Toughness Wear-resistant Steel Manufacturer Provides Thin Wear-resistant Steel Plate/sheet for Yutong Hay:A02HGHG
High-strength, wear-resistant, corrosion-resistant aluminum alloy and seawater corrosion-resistant nickel-aluminum-white-copper alloy supplier Hay:A03NBBW
The leading IC Substrate supplier of Apple TWS headset Hay:I04JSPN
China ultra-fine carbonyl iron powder supplier realizes commercial production of strength alloy powder for folding screen mobile phone hinge Hay:A05JXYA
Adamant Namiki and Saga University develop Two-inch ultra-high purity diamond wafers
The Small and Medium Module Precision Gear Supplier of BMW,HONDA,PIAGGIO,Yamaha,Boach… Hay:U02CQQT
China’s high-strength Invar enters high-end transmission wire market Hay:A04HBGT
China Wide Format Soft Stainless Steel Precision Foil Manufacturer Releases Expanded Alloy and Corrosion Resistant Alloy Hay:A02TGJT
China’s largest sapphire mobile phone screen and LED substrate finishing base was completed and put into production Hay:I04HKWJ
China’s high-end 5G, FCBGA substrate production base begins commercial production Hay:I04NJXA
Boston Materials and Arkema jointly develop ZRT lightweight bipolar plates
China’s largest tungsten and molybdenum materials manufacturer realizes commercial production of metal gas diffusion layers and metal bipolar plates Hay:N01BJAT
The leading PCTFE products and ultra-pure fluorine-containing products manufacturer Hay:C06ZZHD
China’s high-strength ultra-thin fall-resistant cover glass manufacturer builds new production base Hay:B04SCHK
China’s leading HDI PCB and IC substrate manufacturer builds new production base Hay:I04SZBM
China’s highest strength [2000MPa] spring vanadium microalloyed flat steel delivered to customers Hay:A02JXFD
The leading Aviation Grade Ultra-fine Grain Titanium Alloy Rods and Wires supplier Hay:A04SXTC
China realizes commercial production of Colorful rare earth zirconia ceramic powder Hay:B01NMGJ
The leading high-refractive transparent ceramic technology company Hay:B02ZKHY
The all-titanium alloy drill pipe developed by the Chinese drill pipe enterprise has obtained a patent Hay:A04BHNK
China’s Leading Large Nickel-Based Alloy Forging Manufacturer Won the Bid for Nuclear Power Turbine Rotor Forgings Hay:T03ZGYZ
High conductivity copper nickel phosphorus, chromium zirconium copper and tellurium copper alloy for electric vehicle high power charging pile Hay:F04NBBW
Large-scale aluminum and magnesium alloy precision structural parts manufacturer in China introduces Bühler 6100T super-large die-casting machine Hay:T02DGYA
Strohm and Evonik to bring TCP with Carbon Fibre PA12 to green hydrogen market
Chinese Large size forging manufacturer builds a new wind turbine spindle production base Hay:T03HZQT
China’s leading supplier realizes commercial production of 70MPa multifunctional integrated pressure reducing valve group for hydrogen storage tanks Hay:U05SHWS
China magnesium alloy high vacuum key structural parts mold engineer talks about the application of magnesium alloy die castings Hay:T10NBTZ
The leading Ultra-thin and high-grade non-oriented silicon steel supplier Hay:F05TGJT
The Global Leading Yaw Bearing, Pitch Bearing Supplier of GE,Vestas and Siemens/Gamesa Hay:U03ZJTM
Carbon fiber reinforced silicon carbide matrix composite brake disc for EV
The leading Rolled Copper Tape Foil, Lead Frame Copper Tape and Double Layer Flexible Copper Clad Laminate Supplier Expansion Hay:A03SXBT
ROHM Group expands PLEXIGLAS®PMMA production capacity in Shanghai
China’s largest aramid fiber manufacturer builds new high-elongation low-modulus para-aramid fiber production base Hay:D01YTTH
Excelitas Technologies Introduces New LINOS F-Theta-Ronar Lenses
General technical standard for carbon fiber composite covering parts for automobiles released Hay:D02GDYT
The leading High Concentration Alloying Element Additives and Grain Refiners Supplier of Alcoa and Constellium Hay:A03CQRJ
Chinese tantalum carbide (TaC) manufacturer builds new production line Hay:B08HNDL
Liquid metal enters daily life Hay:H06YNYT
Aluminum-lithium alloy, titanium alloy and carbon fiber composite materials are widely used in C919 large passenger aircraft
The liquid and gas-repellent nanofilm and multilayer corrosion-resistant nanofilm supplier of Apple and Amazon Hay:C04JSFW
Integrated High Pressure Die Cast (HPDC) rear cockpit developed by Human Horizons wins the 10th Altair Enlighten Award for Lightweight
Development Status of Proton Exchange Membrane Industry for Hydrogen Fuel Cells in China
Chinese company realizes hot press forming of large-scale special-shaped curved titanium alloy materials Hay:A04JLJD
Chinese titanium alloy manufacturer presents large single-weight titanium alloy coils and ultra-fine-grained titanium alloy rods to the FARNBOROUGH INTERNATIONAL AIRSHOW Hay:A04SXTC
The leading Photosensitive polyimide coating adhesive and polyimide liquid crystal alignment agent supplier Hay:C02BJBM
Build a seamless copper-nickel alloy pipe [with the largest diameter and the thickest wall] production base Hay:A03GSJC
The Leading 800V High Voltage Electromagnetic Flat Wire Supplier Hay:F05NBJT
China develops high manganese steel for LNG fuel storage tanks/low temperature pressure vessel Hay:AO2HNHL
Thick Wall Nickel Base Corrosion Resistant Alloy (N08810) Material for Large High Pressure Gaseous Hydrogen Storage Vessel Hay:A04LZLS
Novel Crystal Technology plans to commercialize gallium oxide (GaO) wafers in 2025
The Leading Rare Earth Polishing Powder Supplier Hay:A06AHKS
The Graphene Coated Copper Foil Heat Dissipating Material and Graphene-Copper Alloy High Performance Conductive Material Supplier Hay:F03SHXC
The Leading Optical TAC Film Supplier for Polarizers Hay:C04SZXL
The Hydrodynamic Oil Film Sliding Bearing Supplier of Siemens,Sulzer and GE Hay:U03HNCD
Chinese High elastic alloy material manufacturer achieves commercial production of titanium bronze alloy Hay:A03NBBW
Chinese glass fiber/carbon fiber composite pultruded sheet manufacturer expands production Hay:D02JXZF
China’s second carbon fiber manufacturer achieves commercial production of 50K large tow carbon fiber Hay:D01JLHX
China magnesium alloy precision die casting parts manufacturer becomes the Tie one Supplier of Mercedes-Benz Group AG Hay:T02CQBA
The world’s major carbon nanotube and Carbon nanotube conductive paste suppliers
The fuel metering valves for common rail pumps supplier of Bosch Successfully developed solenoid valve for smart brake system Hay:U05JSHG
China’s high modulus (high thermal conductivity) mesophase pitch-matrix carbon fiber production line begins commercial production Hay:D01LNNK
The Leading Magnetic Bearing and Magnetic Suspension Equipment Manufacturer Hay:U03NJCG
The Leading Metamaterials: Flash Evaporated Polyethylene High Strength Specialty Fiber Supplier
The second flexible precision stainless steel foil manufacturer in China Hay:A02FJQT
Chinese reinforced polyurethane thermal insulation board manufacturer wins bid for LNG thermal insulation material order Hay:F03JSYK
The Leading Aluminum Precision Die Casting Supplier of NORD and GE Hay:T02JSKS
Chinese Ceramic Package Base (PKG) and SMD Ceramic Device Manufacturer Breaks Kyocera’s Monopoly Hay:I04HNCJ
Self-lubricating fabric liners: high-performance PTFE fabrics help China’s self-lubricating spherical plain bearing industry take off Hay:U03FJLX
ON Semiconductor and Wolfspeed Accelerate Silicon Carbide Capacity Expansion
Carburizing heat treatment technology helps commercial production of 16MW wind power spindle bearings in China Hay:U03HNLY
Solvay Introduces a New Generation of Low Temperature Epoxy Carbon Fiber Prepreg
The Global Leading Aramid Paper Supplier of ABB,Siemens and Schneider Hay:D01SDMS
The new PEKK production facility of China’s leading PEKK manufacturer was put into operation Hay:C02SDKS
The Leading Precision Titanium alloy parts with complex thin wall and deep cavity Supplier of Boeing and Airbus Hay:T09XACD
Ultra precision polishing of special-shaped, deep hole, complex groove and semi closed cavity Hay:T09TJZW
Chinese Leading manufacturer of super hard wear-resistant materials released diamond wear-resistant pick Hay:T10SXXT
The Leading tantalum, niobium, zirconium, titanium alloy heat exchanger and reactor supplier Hay:A04SXXB
Chinese company realizes commercial production of ultra-fine and super soft polyester fiber Hay:D01SZHL
High performance Composite Leaf Springs for Heavy Trucks realizes commercial production in China Hay:Q02SDHH
The embedded inserts for wind turbine blades Made by the China’s high-temperature alloy bolt supplier has accounted for 70% of the global market share Hay:U07HNFW
10 MW TRB offshore wind power main bearing made in China passed the acceptance Hay:U03HNLY
The Leading mini AF VCMs and piezoelectric motors Supplier Hay:U01ZJXS
China PLA/HA composite absorbable interface screw has been approved by NMPA for market Hay:P01SZLX
The Leading high-precision micro stamping Supplier of Huawei and TDK Hay:T01GDSD
The Leading aramid fiber phone case embedded with magnetic plates Supplier Hay:D01SZPK
Global Leading Biodegradable and plant fiber molded tableware supplier Hay:C03ZJZX
The Leading Conical Spherical Lens Fiber and Metallized Lens Fiber Supplier Hay:F06WHCX
The Leading SiC Fiber and Si3N4 Fiber Supplier Hay:D05FJLY
The leading eamless S30432 (Super304H) super stainless steel pipe supplier for power station boiler equipment Hay:A02CZSD
China’s alumina fiber reinforced composite manufacturer has built a new alumina continuous fiber production base Hay:B01SHRR
The Leading Large Precision Casting and Forging Supplier Hay:T03ZJBD
Endoscopic atomization microcatheter approved for marketing Hay:P11ZJKB
Chinese leading titanium plate and strip supplier for nuclear power plate heat exchanger Hay:A04HNJT
The leading High temperature alloy forgings, corrosion resistant alloy forgings and ultra-high strength alloy forgings supplier Hay:T03HBSD
The Leading supplier of gold/silver/platinum electronic paste and tungsten/molybdenum/manganese slurry Hay:I02SZHP
China’s leading supplier of tungsten polishing solution has won orders from several mainstream wafer manufacturers Hay:I03WHDZ
China’s leading manufacturer of aviation carbon/carbon composite brake pairs Hay:D10HNBY
The leading thin-walled shell aluminum lithium alloy component Supplier Hay:A03HNZC
3D graphene supplier:LytR develops Light polymer composites
The Large thin-wall complex structure integrated die-casting battery tray won the prize Hay:T02GDHT
The leading ultra-low bulk ratio electrolytic copper powder and microelectronic tin based welding powder supplier Hay:A05BJYY
The Leading large-size TC4 titanium alloy thick wall pipe Supplier Hay:A04BJTP
The leading precision rotary shaft/hinge for notebook computer and UAV Hay:T09KSWS
Chinese company realized commercial production of high-performance paper-matrix carbon fiber materials for hydrogen fuel cells Hay:D01GDGH
China realizes commercial production of 33mm thick X80 grade large strain pipeline steel plate Hay:A02LNAG
The leading flexible micro/nano mechanical sensors supplier Hay:I06SZNS
The Leading High Performance Engineering Plastic Precision Parts Supplier of (NGK/NTK) and Panasonic Hay:T07SHZM
China completed the production of ITER Enhanced Heat Flux (EHF) First Wall (FW) Hay:F03GZXL
China’s leading CMP polishing pad manufacturer invests in ultra precision wafer carrier project Hay:I03ZJDL
China’s LWDM and DWDM filter technology breaks the monopoly of international giants Hay:F06HNLX
The Leading tantalum/tantalum alloy and niobium/niobium alloy supplier Hay:A01NXDF
Sales volume of China’s leading aerospace grade special master alloy manufacturer increased significantly Hay:A03HBST
The leading fireproof sealing material and fireproof cladding material supplier Hay:F03YTJR
The world’s first 9MW wind power forging spindle Hay:T03SDTY
The Leading Vertical Graphene Array Material Supplier Hay:H02CQXH
The Leading Conductive Monofilament and PPS Monofilament Supplier Hay:D01NTXD
The Leading UTG and Spherical Quartz Powder Supplier Hay:B04AHKS
The Leading High Barrier Shielded Transportation Packaging Supplier of BASF, Nestle and CATL Hay:F08NJLL
Hyosung developed ultra-high strength T1000 carbon fiber
Global leading microfiberglass wool acoustic insulation felt supplier of C919 and SpaceX Hay:F03CQZS
China’s leading carbon fiber composite structure manufacturer for aerospace Hay:D02JSXY
Successfully commercialized the production of monodisperse micro nano silver powder for photovoltaic cells Hay:A01CDDF
“High concentration forming technology and industrialization project of high-performance aramid paper based materials” won the prize Hay:D01ZZHX
The Leading Optical Communication Filter and Glass Aspheric Lens Supplier Hay:F06HBDT
The Leading ultra-thin titanium alloy foil and silicon steel strip supplier Hay:A04SDGX
Nano cemented carbide technology won the prize Hay:A04HNBY
The leading glass fiber membrane filter material and high permeability non-manufactured fabric membrane filter material supplier Hay:F07NJBX
The leading AMB-silicon nitride ceramic substrate manufacturer builds a new IGBT ceramic liner production base Hay:I04SZBM
Chinese wind power pitch bearing roller manufacturer supplies Rothe Erde & Defentine Hay:U03ZJWZ
The global leading Forklift Mast /Side Roller & Cylindrical Roller bearings supplier of jungheinrich and Linde Hay:U03JSWD
The surface finishing technology of ultra-precision and complex shape/structural parts conquered Hay:T09SXJX
China’s largest tantalum and niobium profile deep processing enterprise Hay:A01HNNF
Large size ultra-low expansion glass-ceramics Hay:B04ZCRG
The Leading PTFE sealing gasket and plastic pole frame supplier for ALK, PEM and AEM Hay:U07ZJFD
PEEK craniomaxillofacial fixed plate obtained medical device registration certificate Hay:P01GZPM
The leading Recrystallized SiC (R-SiC) supplier Hay:B01WXKL
The Core Material of FPC: Electromagnetic Shielding Film Suppliers
Samsung Display and APS Holdings plan to cooperate to develop 3500PPI FMM
YES Power Technix[SK Group] will increase the SiC production capacity by three times
The ultra-size aluminum alloy profiles with thin-wall, wide width, high accuracy and complex cross-section Hay:T06YTZX
The Leading Viscose Based Carbon Fiber Insulation Mat and (PAN) Based Graphite Mat Supplier Hay:F03HNFO
Taiwan Precision Optical Lens Manufacturer:Largan will provide Periscope Lens for iPhone 15 Pro Max
China’s first tantalum metal powder bed laser fusion 3D printing intervertebral fusion cage product approved for market Hay:P01HNHX
The Leading ZTA Ceramic Ultra Wear Resistant Liner Supplier of Vale, BHP Billiton and Rio Tinto Hay:B02HNJC
The leading ultra-size and ultra precision castings and forgings/shafts/Generator rotor supplier Hay:T02ZGEZ
The Leading Laminar Metal Composites:Thermostatic Bimetal materials and Electrical Contact Materials AgSnO2 Supplier Hay:D04FSTB
The Leading Liquid Crystal Polymer Film and LCP Vibrating Diaphragm Supplier Hay:F02SZXW
Inprentus will release Blazed Grating Technology for AR optical waveguide
Chinese nonlinear optical crystal supplier provides lithium niobate crystals to Lumentum and TRUMPF Hay:B06FJFJ
3D Systems verifies NASA’s new GRX-810 super alloy
China’s Leading Carbon Fiber Composite Material Manufacturer Appears at JEC World Hay:D02JSHS
The leading titanium alloy and Nitino/nickel titanium shape memory alloy supplier Hay:A04XAST
The Leading Ultra Precision Titanium Alloy and High Temperature Alloy Parts Supplier for the Aviation Industry T09CDHX
The Global Second Iron-Nickel Base Superalloy Supplier in China Hay:A04HBDY
The only LNG Ultra low temperature insulation board supplier in China that has passed GTT certification Hay:F03JSYK
Chinese leading liquid cooling technology [Ultra Thin Vapor Chamber and Heat dissipation tube] supplier of NVIDIA,AMD and Intel Hay:F03JSJY
The nanocrystalline glass obtained the first SGS five-star drop proof certification Hay:B04CQXJ
China’s advanced high-precision etched IC lead frame and VC: Vapor Chamber production base have been put into operation Hay:I04ZJHF
Chinese W-HUD and AR-HUD manufacturer won orders from Jaguar Land Rover Hay:F06ZJSJ
The leading heavy duty self-lubricating material bearings supplier Hay:T05HNQL
China Leading Graphene Transparent Conductive Thin Film Supplier Hay:H02NBRT
STMicroelectronics will build an 8-inch silicon carbide substrate production base in China
China has developed high-performance absorbing material: iron cobalt ruthenium ternary alloy thin film Hay:F05WHKJ
High performance impact resistant elastomer material applied to Huawei folding screen phone Mate X3 Hay:F01SCWJ
The leading high thermal conductivity magnesium alloy supplier Hay:A03SZHC
Chinese LCP film products enter the supply chain of major North American customers Hay:C04SZWX
Carbon fiber backplane products have passed the certification of Lenovo Hay:D02SZGD
The leading high-temperature superconductivity cable and low-temperature insulated three core superconducting cable supplier Hay:H07SHGJ
The leading Superconducting cables supplier Hay:H07WXTL
Mersen Boostec provides ultra precision silicon carbide products for Herschel and Gaia projects
The world’s largest: ultra large complex structure magnesium alloy die castings Hay:T02CQBA
The leading spherical silica micropowder supplier of Sumitomo Bakelite and Hitachi Chemical Hay:B04ZJHF
The leading precision forging parts supplier of JTEKT and GKN Hay:T03JSLY
The Top Folding Screen Phone MIM Spindle Hinge Supplier of Apple Hay:T05SZFH
The leading Ultra precision diamond cutting wheel supplier for precision cutting of LCD panels Hay:T10BJWE
After CoorsTek and Toshiba Ceramic, the third global manufacturer of silicon nitride ceramics Hay:B01ZCDH
The Global Leading Faraday Rotator Supplier Hay:B06CDFR
The leading ultra fine electromagnetic wire & superconducting cable supplier Hay:F05BYCT
The leading PTFE Microporous Membrane and PTFE Fiber supplier Hay:F07SDSR
Chinese companies provide Huawei Magic V2 with Luban titanium alloy gearless hinges Hay:
Spinal system products have obtained FDA 510 (K) certification in the United States Hay:P01SHSY
Chinese ultra high strength 1300MPa steel strip supplier realize commercial production Hay:A02SZXL
Chinese diamond manufacturer launch optical grade single crystal diamond Hay:B06ZNZS
Skeleton Technologies secures €108M EUR of financing with top investors including Siemens and Marubeni
The leading supplier of stainless steel-carbon steel composite steel coils Hay:D04CQGT
The ultra High Purity Cycloolefin Polymer Optical Materials supplier for Optical Lenses Hay:C01WXAK
The new production base for high-temperature titanium alloy and coated titanium alloy wires has been put into operation Hay:A04XAXB
Chinese 8-inch silicon carbide substrate manufacturer realized commercial production Hay:I01XMSA
China’s leading copper alloy technology provider releases new products Hay:A03AHCJ
The porous high-temperature alloy materials supplier Hay:A04BJHC
Mirror milling technology-precision machining for complex and ultra-thin shapes metal Hay:T09SHTP
China Achieves Ultra Pure Ferritic Stainless Steel Commercialized Production for fuel cell connectors Hay:A02TYGT
Toray Develops the Ultra-High-Strength Carbon Fiber TORAYCA™ T1200
The leading carbon fiber paper supplier for hydrogen fuel cell diffusion layer Hay:N02SZGQ
China T800 carbon fiber prepreg has been approved by COMAC Hay:D02JSZF
The Incoloy 800H forgings supplier for HTR:High-Temperature gas-cooled Reactor Hay:T03GZXL
The high purity carbon fiber insulation material and asphalt based carbon fiber supplier Hay:D01HNDY
China Single Crystal Diamond Substrate Technology Company Achieves Commercial Production Hay:B06XAJD
China Leading Manufacturer and Supplier for Anti-reflective Coating Solar Glass,Ultrathin Photoelectric Glass,POE Films Hay:B04CZYM
China leading high-purity metal beryllium, beryllium copper alloy, beryllium aluminum alloy, beryllium copper rod wire, zirconium magnesium alloy manufacturer and supplier Hay:A04WKPY
China Leading Manufacturer for Valve tappet, rocker-arm, VVT, auto-tensioner, piston cooling jet and high-precision machining parts Hay:Q02SCFL
Global leading High-end precision cutting wire/EDM wire manufcturer and supplier Hay:T10NBBD
Precision machining aviation, automotive components and parts, difficult-to-cut materials (such as nickel-based alloys, titanium alloys), High precision tooling manufacturer and supplier Hay:T09WXBS
Alumina, Zirconium oxide, Silicon carbide, Silicon nitride precision ceramic components and parts, Porous ceramic vacuum chuck leading manufacturer and supplier Hay:B03SZSD
Aramid mica paper, Aramid shielding material, Aramid carbon fiber paper, Low permittivity Materials Aramid Paper, Aramid Insulation Paper, Aramid Honeycomb Paper leading manufacturer and supplier Hay:D01SZHT
China leading carbon fiber composite materials and products: pultrusion carbon fiber plate, thermoplastic carbon fiber laminate, carbon fiber prepreg manufacturer and supplier Hay:D02SHSW
China leading High Precision Tinned Copper Alloy Strip Supplier Hay:A03AHXK
China leading silicon carbide particles reinforced aluminum matrix composite materials, semi-solid casting high-strength precision aluminum alloy parts and components manufacturer and supplier Hay:D04HNWC
China high temperature alloy/superalloy materials,amorphous alloy materials, nanocrystalline strips, refractory alloy materials,rare earth magnetic materials,metal injection molding (MIM) precision parts manufacturer and supplier Hay:A04GYKJ
China top superlloy,high temperature alloy, ultra high strength steel, stainless steel, tool steel, titanium alloy, alloy structural steel, high speed tool steel manufacturer and supplier Hay:A02LNFS
China top pipe Flange / Pylon Flange, Disc Forgings, Forged Hollows, Seamless Ring Rolling Forgings, Custom Forgings, discs, rings, hollows and special-shaped forgings manufacturer and supplier Hay:T03SDYL
China leading corrosion-resistant alloy materials: super austenitic stainless steel, duplex stainless steel, nickel-based alloy, titanium alloy manufacturer and supplier Hay: A02SHBG
China Alumina Fiber Technology Company successfully realized commercial production Hay:B01SDDH
China top chromatography media, solid phase extraction (SPE) sorbents, particle size standards, magnetic particles, LCD spacer, ACF conductive particles, and various other customized functional microsphere products manufacturer and supplier Hay:H02SZNW
China leading W-Cu Composites (Tungsten-copper Composites), molybdenum copper composite materials, copper/molybdenum/copper,copper/molybdenum/copper sandwich structural composite material manufacturer and supplier Hay:D04JSDQ
China super alloy, titanium alloy, Magnesium alloy ring forgings, open-die forgings, high-precision forged parts and components manufacturer and supplier Hay:T03WXPK
The Zirconium based bulk metallic glass (BMG) and Amorphous alloy Supplier of Huawei Hay:H06SHCS
Chinese leading manufacturer of Chrome bronze alloy and Zirconium bronze alloy Hay:A03ZZQY
职业价值观
The Best Sourcing Agent in China
采购管理咨询公司采购管理经典案例分析:国际著名工程机械集团快速提升采购绩效
Fortune Global 500 Companies Procurement Organizations Development Trends
波特钻石理论模型(Michael Porter diamond Model)又称钻石理论、菱形理论及国家竞争优势理论
波士顿矩阵(BCG Matrix)
波士顿BCG三四规则矩阵
戈夫曼拟剧论(Goffman’s Dramaturgical Theory)
长板凳计划(Long Bench)
责任分散效应(Decentralized responsibility effect)
4Ps营销理论(The Marketing Theory of 4Ps)
绿色营销(Green Marketing)
文化营销(Cultural Marketing)
差异化营销(differentiated marketing)差异性市场战略(differentiated marketing tactics)
服务分销策略 (Services Distribution Strategy)
“整时营销”与“晚盈利” (Profit by Timing Marketing and Lag Profit Marketing)
沟通管理(Communication Management)
危机管理 (Crisis Management)
能本管理 (Capacity Core Management)
知识管理 (Knowledge Management)
柔性管理(Soft Management)
过程质量管理法 (Process of Quality Management)
零缺陷管理(Zero Defect Management)、零缺陷(Zero Defects,ZD)、无缺点、缺点预防、零缺陷品质管理
数字化管理 (Digital Management)
购销比价管理 (Purchase by Grade Management)
精益生产管理的八大浪费Muda解析
六西格玛管理法 (Six Sigma)
A.T.Kearney科尔尼战略采购七个步骤
德国罗兰贝格Roland Berger:全球领先的咨询公司提升市场营销效率模型
卡拉杰克Kraljic采购模型,战略型物料、杠杆型物料、瓶颈型物料和交易型物料:物料组与采购战略
世界500强外企采购经理谈采购管理制度与采购审计工作
VUCA商业时代的采购战略管理变革
战略采购谈判七个技巧:赢得与关键供应商的艰难/困境谈判
DHL供应链总监谈判五个提升供应链管理价值的步骤
非生产性物料间接物料采购管理培训Non Production Material/Indirect Material Purchasing
通用汽车采购经理谈:供应商管理最佳绩效考核指标KPI
F-35战机坠毁,美国联合技术公司[United Technologies]价值上亿美金的质量缺陷/失效成本
采购谈判中的供应商谈判检查清单Supplier Negotiation Checklist
品类/分类采购支出管理:生产性物料与非生产性物料/直接与非直接物料采购管理/Classifying Spend: Indirect vs Direct Procurement
战术采购还是战略采购Why Do You Want To Use Tactical Purchasing Instead of Strategic Purchasing?
应用先进的采购技术和工具的好处Benefits of Procurement Technology & Tools
战略采购管理咨询机构:采购降本战略之核心成本核算 Core-cost analysis_A7/64
采购战略管理咨询之采购合规性管理 Compliance management A2/64
外企采购工程师Sourcing Engineer培训课程 功能评估 Functionality assessment C8/64
由华为备胎计划看战略采购管理咨询之政策框架管理Political framework management B5/64
高级采购管理咨询公司 采购主数据管理 Master data management C3/64
采购管理咨询机构与培训课程 基于生产的设计 Design for manufacture D7/64
采购管理咨询顾问机构 跨代需求量捆绑 Bundling across generations D2/64
采购战略规划管理培训机构
战略采购规划管理咨询与培训机构 低成本国家采购LCC sourcing F1/64
采购成本分析管理培训课程 价格对标 Price benchmark G3/64
供应链管理咨询与培训课程 虚拟库存管理 Virtual inventory management F6/64
供应商关系战略管理培训之 利益共享 Profit sharing G8/64
供应商管理培训机构 供应商适应管理 Supplier fitness program H5/64
非生产性物料采购管理培训课程 从采购经理的角度看采购审计
战略采购管理咨询与培训课程 采购的创新与创造能力 2/5
战略采购管理咨询顾问服务机构/培训课程:采购组织转型之路
国际高端采购管理咨询顾问服务机构 采购的未来已经到来
Internationally renowned strategic procurement management consulting company Promoting superior value through digital procurement Discovering true procurement value 2/2
非生产性/间接物料采购管理培训课程 采购数据是提升采购绩效的高能动力
Advanced Procurement Negotiation Skills Training Course Focus on value creation and realize a win-win situation for procurement 3/4
战略采购管理咨询顾问服务与培训机构 产品开发与采购
外企高级采购工程师Sourcing Engineer培训课程/机构 采购中高级分析技术
采购战略管理咨询顾问服务与培训课程 联合采购 2/4
精益采购理念:采购经理如何将精益管理理念带入采购团队和采购管理
外企高级采购管理培训课程:三个对于采购总监/首席采购官CPO来说至关重要的成功要素1/3
供应商管理培训课程与机构 积极实施战略供应商管理 3/5
供应商管理与供应商战略管理管理培训课程:供应商绩效表现卓越
年度降本谈判战略之:利用供应商的创造性来削减成本
7 Step Strategic Sourcing & Procurement Process
What your procurement function can—and can’t—do?
Secrets of Successful Dealmaking in Purchasing Negotiations
Building Analytical Skills: How To Harness Procurement Saving Opportunities
Getting Sourcing Right in China
Building superior capabilities for strategic sourcing
Vertical Integration In Procurement Strategies: Change Nature Demand A6-Kearney
Mega Supplier Strategy In Procurement Strategies: Manage Spend B3-Kearney
Leverage Innovation Network In Procurement Strategies: Change Nature Demand B8-Kearney
Product Benchmark In Procurement Strategies: Change Nature Demand C5-Kearney
Design For Manufacture In Procurement Strategies: Change Nature Demand D7-Kearney
Bundling Across Product Generations In Procurement Strategies: Manage Spend D2-Kearney
RFI/RFP Process In Procurement Strategies: Leverage competition among suppliers E4-Kearney
Virtual Inventory Management In Procurement Strategies: Seek joint advantage with supplier F6-Kearney
LCCS Low Cost Country Sourcing In Procurement Strategies: Leverage competition among suppliers F1-Kearney
Price Benchmark In Procurement Strategies: Leverage competition among suppliers G3-Kearney
Profit Sharing In Procurement Strategies: Seek joint advantage with supplier G8-Kearney
Supplier Fitness Program In Procurement Strategies: Seek joint advantage with supplier H5-Kearney
Procurement Strategies Based On Kraljic Matrix
The LED substrate sapphire wafer manufacturer successfully produced more than 170 kilograms of sapphire crystals, setting a record for the maximum weight of a single LED sapphire crystal in Asia Hay:B06JSJX
SABIC completes acquisition of GE Plastics
China Precision Zinc/Aluminum Alloy Die-Casting Components & Parts Supplier & Manufacturer For Automotive Hay:Q02HZHY
Nano-ceramic particles reinforced tungsten-molybdenum alloy/high-strength and tough tungsten-molybdenum alloy Hay:D04HNKJ
China AVIC acquires Henniges Automotive Holdings
China achieves second-generation high-temperature superconducting (YBCO) strips commercial production Hay:H07SHCD
Dow Chemical and DuPont Announced an Equal Merger Agreement,
China Vehicle Seat System Supplier: Seat System Technology for Construction Machinery,Passenger Car,Commercial Vehicle
Copper clad stainless steel plate supplier supplies to ITER project Hay:A05SXTG
China Advanced Materials Technology Group builds the world’s largest synthetic sapphire industrial base Hay:B06BJGY
Leading Electromagnetic EMI shielding film, conductive adhesive, extremely thin flexible copper clad laminate and extremely Ultra thin peelable copper Coil Manufacturer and Supplier Hay:F05GZFB
China aluminum profile manufacturer Zhongwang USA LLC reached an Agreement to acquire Aleris
AkzoNobel Announces Adjustment of Chinese Powder Coatings Prices
China Manufacturer 7055 aluminum alloy profiles for wing beams, high-strength aluminum structural parts for ships, aluminum alloy templates, industrial aluminum extrusion, aluminum alloy deep processing, high precision aluminum rolled product Hay:A03LNZW
GE buys global wind power giant LM for $ 1.65 billion to enhance its competitiveness with wind power giants such as Siemens and Vestas.
United Kingdom company:R&D Tool and Engineering Polymer ice bottle Won the Horners Bottlemakers Award 2016
China Battery Manufacturer and Supplier Guoxuan Hi-Tech issued four capital increase announcements would Strongly invest the lithium battery
Specialty Chemical Manufacturer Clariant Introduces New Colorants and Additives for Medical Devices
Chem-Trend Announces Acquisition of Ultrasurge Business of Moulds Plus International
Mitsubishi Resin ALPOLIC® series fr photocatalyst coating officially launched
North American composites manufacturer PolyOne forms technical partnership with German technology giant Merck KGaA
China’s first sapphire crystal equipment company puts into trial production
Broadcom Launches Industry’s First Commercial Passive Optical Fiber Crystalline Silicon
Chinese power battery material manufacturer Huayou Cobalt invested 100 million yuan to build a ternary precursor production line
China`s Juke Industry’s annual output of 100 million new ultra-high-strength and high-toughness automotive aluminum wheel blanks project landed in Taizhou
DuPont raises Zytel® unreinforced nylon 66 and Zytel® unreinforced nylon 6 prices
Taiwan’s plastics industry group should focus on opening up markets in India, Southeast Asia, the Middle East and Africa
Radici Group launches a series of high temperature plastic PPA products
LANXESS launches new nylon 6 for automotive engine oil pans
Clariant announces opening of new polypropylene catalyst production facility
BASF launches new Ultramid flame retardant materialsSafety material for household appliance connectors
ABB,Schneider,Chint,Legrand`Halogen-free flame retardant engineering plastics China Supplier
China Power Battery Material Manufacturer and Supplier:Groundbreaking for Shanshan and Juhua Group’s 50,000-ton / year battery materials project
Huntsman, the world’s leading chemical company, exhibits the IROGRAN TPU series of products specifically developed for wire and cable applications at the K show
SGL Group sells graphite electrode business to Showa Denko
LANXESS Durethan glass fiber reinforced nylon 66 material for vehicle air filtration systems
China Aluminum fuel cell technology company:Production of aluminum-air metal fuel begins
China Leading Supplier and Manufacturer for Sintered NdFeB permanent magnet Signed procurement agreement with Tesla
Osram: Ledvance acquisition process as expected
China Special aluminum alloy manufacturer and Supplier for Aerospace Aluminum Alloy materials
BASF: Ultradur, a Super Connector Material for Automotive Wiring Harnesses
Global anti-corrosion coatings market size is expected to reach USD 20.21 billion by 2024
Saudi Aramco acquires Novomer’s carbon dioxide-containing polyether polyol Converge production process
DSM sues under new section 337 for UV-curable optical fiber coatings
BASF expands plastic additives business capacity, plans to invest more than 200 million euros
Idemitsu Kosan and Merck reach OLED material licensing agreement
Osram acquires part of Dutch software expert Tvilight to expand smart lighting industry chain
LM Wind Power Announces Supply of 5MW Offshore Wind Turbine Blades to China Shipbuilding Industry Offshore Wind Power in China
Global Leading specialty chemicals company Clariant’s Announcement on Exolit® OP Flame Retardant Patent Rights
SCHOTT launches new ultra-thin glass to take fingerprint sensor, camera and mobile phone display protection to a new level
Eastman unveiled the latest automotive and architectural coatings solutions at ChinaCoat 2016
Evonik announces expansion of RESOMER® and RESOMER® SELECT biodegradable polymers
Specialty Chemicals Company LANXESS plans to acquire a chemical company, Chemtura
Chinese architectural waterproofing company invests 180 million to acquire European architectural coatings manufacturer DAW ASIA, and enters the field of architectural coatings
BASF launches Basilac® 45 water-based basecoat in China
China’s leading polymer composites company launches new automotive lightweight materials solutions Hay:D02XDJT
Mitsubishi Electric: Demonstrates High Efficiency, Broadband Doherty Amplifier for Next Generation LTE Base Stations
China Leading Manufacturer of Engine Valve Key, Valve Spring Retainer, Hydraulic Lash Adjuster, Roller Finger Follower, Mechanical Valve Tappet, Transmission parts and other cold forming products Hay:Q01HZXZ
Japanese tire maker Yokohama to acquire Aichi Tire Industries
China Manufacturer of new environmentally friendly architectural coatings, water-based architectural coatings, water-based industrial paints
China anti-aging chemical additive manufacturer Rianlon successfully IPO, The main products are antioxidants, light stabilizers and U-PACK.
Evonik acquires Air Products’ specialty additives business for $ 3.8 billion
Dow Corning Introduces Five Optical Encapsulants Maintain light and thermal stability and reliability at 150 ° C
The SLCOM1 industrial composite 3D printer launched by EnvisionTEC is used to process carbon fiber fabric or reinforced aramid fiber fabric. Nylon 6, nylon 11, nylon 12, polyetheretherketone, polyetherketoneketone, polycarbonate Be enhanced
DuPont Tyvek® Tyvek® Cargo Insulation Covers at IQPC’s 8th International Pharmaceutical Biocold Chain Management Summit
Dürr/DurrCoating and Environmental Technology China headquarters is completed and put into use in Shanghai
Audi, BASF and Covestro collaborate: new automotive varnish with biobased hardener
Dow Corning Introduces New Flame Retardant Synergist at Chinaplas 2017 Showcase to Solve Difficulties in Balancing Performance and Cost in Composites
Kobe Steel plans to spend $ 484 million to expand aluminum business
Dow Packaging & Specialty Plastics Business Unit, Dow Elastomers Business Unit and Dow Corning Plastics Composites Solutions Business Unit jointly exhibit for the first time at CHINAPLAS 2017 Elastomers Business Unit to showcase innovative solutions
ExxonMobil will formally form a strategic partner with Engel’s WINTEC brand to jointly promote the transformation and upgrading of China’s plastic processing industry
Mitsubishi Chemical carbon fiber composite SMC sheet used in Toyota Lexus new coupe inner panel
China Microelectronic Chemical Manufacturer IPO, specializes in ultra-clean high-purity reagents, photoresists, functional materials, and lithium battery binders.
Constellium N.V develops high-strength automotive aluminum alloy HSA6
China develops graphene-modified high-voltage switch electrical contact material to replace copper-tungsten alloy electrical contacts Hay:F04GJDW
Magna Closes Deal With GETRAK,Dominate the Field of Transmission
Axalta, the world’s leading liquid and powder coating supplier, launches Syrox water-based refinish paint
Evonik launches the first flexible PEBA polymer powder for 3D printing
Schaeffler supply crisis highlights long-term disregard for ecological environment and sustainable development
BASF launches Formaldpure catalyst for improving indoor air quality
Thin film LNG marine Invar alloys developed by Chinese special steel manufacturer passed the certification of French GTT company, breaking the global exclusive monopoly Hay:A04BGTG
Chinese magnesium alloy material manufacturer and aluminum alloy wheel manufacturer jointly invest 1.08 billion yuan in new automotive magnesium wheel project
China Top Manufacturer and Supplier of Spherical graphite, High-purity ultra-fine graphite material, lithium ion battery anode material, power battery anode material, carburizer Hay:B06ZJJX
BASF successfully develops a new specialty polyamide, Ultramid® Deep Gloss, for high-gloss automotive interiors
Ultra-high-strength lithium-aluminosilicate glass developed by Chinese special glass manufacturer breaks international monopoly Hay:B04SZDL
Lanxess’s Tepex continuous fiber reinforced thermoplastic composite is used in automotive engine chassis!
China Leading Manufacturer and Supplier of High performance nano silica fine powder for high temperature vulcanized silicone rubber Hay:B04FJHY
Dow Chemical will participate in 2017 China International Door and Window Curtain Wall Expo to showcase high-performance silicone door and window installation solutions
Clariant brings light stabilizer Hostavin® TB-03 and additive solutions to China International Coatings Show
China’s high-end window touch protection glass panel, touch module and window touch protection new material manufacturer signed a cooperation agreement with Nissha to build a film touch sensor production base
Chinese Special Materials Manufacturer and Modern Institute of Physics Join Hands to Develop CiADS Subcritical Reactor Fuel Clad and Related Structural Materials Hay:A02ZJJL
China’s leading manufacturers of NiTi shape memory alloys, amorphous alloys, high entropy alloys, ultra-high purity titanium alloy powders, and nickel-based superalloy powders cooperate with Lithoz Hay:H05ZHMT
China Manufacturer & Supplier for Washing system for windshield, headlights, sensors,Precision Injection Plastic Parts,Sunlight light sensor
China Leading Manufacturer and Supplier of Ductile iron drum for construction machinery lifting Hay:T02SDHF
Chinese power battery material manufacturer Huayou Cobalt acquires 51% stake in Lucky Resources to strengthen lithium energy new energy material resource reserve
The aramid III fiber composites developed by China’s Aramid Fiber Technology Company can replace Russian Armos aramid fiber Hay:D01SCHT
China Leading Manufacturer and Supplier for Precision Magnesium-lithium alloy machining parts,Magnesium alloy die castings,Magnesium-lithium alloy extruded profiles Hay:T06JSLM
Mcor paper 3D printing technology: 5 times stronger than FDM, withstands high temperature of 200 ℃
China’s special steel manufacturer successfully develops 4-5.5 mm thick wear-resistant steel for commercial vehicle manufacturers’ dump trucks and mine trucks Hay:A02HGHG
SABIC launches LEXAN CXT resin with advanced high density, high heat polycarbonate copolymer
China Leading Agitator Dry Gas Seal,Mechanical seal manufacturer and supplier Hay:U07CDYT
Famous French thermoplastic composites manufacturer DAHER wins Boeing’s thermoplastic composites contract
Global Leading Special Materials Company Celanese Announces Successful Start-up of Newly Established Co-Formaldehyde Unit in Joint Venture Ibn Sina
Refractories for Non-Ferrous Metals Industry: Insulation Castables, Si3N4 Bonded SiC Block, Semi-Graphitized Sidewall Carbon Block, Dry Barrier Mass for Aluminum Electrolysis Cell, Diatomite Insulation Brick leading manufacturer and supplier Hay: F03HNPN
SGL develops carbon fiber grade SIGRAFIL® C T50-4.8 / 280 suitable for aerospace applications
Application of carbon fiber composite materials in the automotive field
China makes the world’s largest single sapphire
Evonik’s Chinese plant raises production of triacetone derivatives by 50%
GE Aviation Adds $ 105 Million to Produce Ceramic Matrix Composites
Toray invests $ 90 million to build 20,000 tons of carbon fiber production base
Chinese manufacturers of anti-irradiation glass, multifunctional glass, quartz glass, optical glass, special glass are high-strength anti-irradiation glass coverslips for solar cells of the Chinese space station Hay:B04QHXJ
Development and application of ceramic fiber materials in energy saving and environmental protection
Dow unveils leading packaging and specialty plastics technology to meet market demand for sustainable products
China Special Paper Manufacturer and Supplier for Home decoration paper, business anti-counterfeiting paper, food and medical packaging paper, label release paper, electrical and industrial paper IPO Hay: D10ZJXH
KraussMaffei digitally advances the future of injection molding with Plastics 4.0
China becomes WACKER’s largest monomer market
Titanium diboride ceramic
DuPont Safety & Construction Announces Major Investments in Kevlar® and Nomex® Businesses to Meet Market Demands in Automotive Hose, Protective Clothing, Fire and Aviation
High-entropy alloy powder/FeCoNiCrMn alloy Hay:H01JSWL
Chinese aviation composites manufacturer acquires COTESA, a leading manufacturer of high-quality carbon fiber composite parts for German aerospace and automotive industry
SABIC launches the first EXTEM thermoplastic polyimide (TPI) resin at NPE 2018, which can be used in infrared optical sensor lenses
China’s nano-scale composite zirconia and alumina, electronic ceramic dielectric material manufacturer acquires zirconia material for dentures, optical communication zirconia ceramic sleeve manufacturer Hay:B01SDGC
SZHT carbon fiber technology: committed to becoming the world’s leading supplier of carbon fiber automotive parts Hay:D02SZHT
Clariant EleMax® Catalyst and Hydrogenious Technologies Collaborate on Breakthrough Hydrogen Storage and Transportation Technology
BASF’s New Nutrition brand of human nutrition will receive exclusive distribution rights for Xerion’s exclusive dried blood spot technology PUFAcoatTM Omega-3 index test kit
DSM to increase global Dyneema ultra-high molecular weight polyethylene fibers (UHMwPE) and UD production capacity
Hexagon Composites launches 53-foot composite cylindrical tank
BASF automotive temperature control coating system wins red dot award
AkzoNobel invests 90 million euros in specialty chemicals, breaks ground on new organic peroxide production site in China
Chinese medical team uses 3D printing technology for the first time to treat femoral head necrosis
Chinese special alloy powder manufacturer PREP method successfully prepared GH99 super alloy powder Hay:A05ZJYT
Hromatka Group to distribute Radici polymers in Eastern Europe
Daimler significantly increases power battery capacity
Rogers ARLON® self-melting silicone tape for high-speed rail traction motors
China’s high permeability magnetic substrate materials successfully replaced imports Hay:I04CDJC
Daimler supplier AKASOL develops second-generation battery technology, the battery density increased by about 35% compared to the current battery density
Solvay expands aerospace composite materials: epoxy resin, phenolic resin, bismaleimide, polyamide, prepreg, and woven fabrics capabilities
Specialty Chemicals-The Lubrizol to launch Estane TRX TPU at NW Materials
Chinese Nano Ceramic Flat Ultrafiltration Membrane CFM company Invests 60 Million Euros to Establish Ultrafiltration Membrane Manufacturing Plant Hay:F07SHBA
ShapeTex successfully produces continuous carbon fiber preforms with short fiber SMC
Synthetic fiber becomes standard material for European electric vehicles. Teijin Japan recently announced that it will acquire German automotive interior materials company for 125 million euros. Asahi Kasei and other companies will expand synthetic fiber
China’s solid hydrogen new energy and fuel cell fuel supply source hydrogen absorbing alloy technology and related component projects started
3D printed ceramic implants help true bone growth, 77% of scaffolds are absorbed 6 months after implantation
China New Energy Corporation acquires Nissan’s power battery business Automotive Energy Supply Corporation: AESC
BASF’s latest thermoplastic polyurethane elastomer Elastollan® TPU technology helps high-performance shoe support sheets increase production efficiency and cost-effectiveness
British company AESSEAL launches new MagTecta-S ™ bearing protector
Digital Alloys Receives $ 12.9 Million Investment From Boeing, Low Cost Printing Carbide Speed 5kg / h
China’s high-end carbon fiber composite material equipment manufacturer: successfully developed a carbon fiber microwave graphitization production line with an effective width of 1 meter
INVISTA to bring the latest adiponitrile technology to China to build a world-class factory by 2023
Chinese manufacturer overcome difficulties in mass production of rare-earth magnetic refrigeration materials
Chinese company independently developed titanium alloy powder production equipment for 3D printed titanium alloy components
Chinese LED and display panel manufacturer Mini LED Q4 gradually enters mass production and shipment
Global leader in lithium battery separator industry raises 2 billion yuan to build “lithium battery separator super coating factory” Hay:N02SZXY
Chinese manufacturers of high-speed optocouplers, high-voltage optocouplers, optical relays, optical sensors and customized chips will build R & D and packaging and testing bases for optoelectronic integrated circuits
Academician Wang Zhonglin, the leader of China’s key nanotechnology special project, won the Energy Frontiers Prize of the Eni Award
China Top Supplier and Manufacturer for LED stage lighting, architectural LED lighting, LED lighting system controller
ZEISS to Present Initial Release of APEER, its Digital Microscopy Platform for Applications in Science and Industry
Ziguang acquires 30% stake in Siliconware Precision Industries
New Material from Morgan Advanced Materials Shortens Production Time of Turbine Engine Blades
Evonik introduces TEGO® Dispers 679, a highly effective and cost-effective dispersant for solventborne industrial coatings
China aluminum-based catalytic new materials and high-end alumina and aluminum hydroxide manufacturers 30,000 tons of aluminum-based new materials projects successfully put into operation
LeddarTech to showcase industry’s first 3D solid-state lidar at CES 2018
Bohai Pistons acquires 75% of TRIMET Automotive Holding GmbH, a German aluminum casting company
Tongtai Develops Mini LED Substrate, Suitable for Mass Transfer
Construction of China’s POM new material production base begins
LANXESS develops drones made of short glass fiber reinforced Durethan polyamide
Siemens Group plans to cooperate with Swedish Powercell to develop marine fuel cell power systems
LG Chem will build a power battery plant in Nanjing with a capacity of 32GWh
Saudi Aramco, Air Products and ACWA Power to build more than 8 billion gasification / power joint venture
China’s first fully integrated T/R silicon process was born in Shanghai
Haydale launches first graphene-reinforced PLA 3D printed wire
Russian Rostec allocates $ 44.5 million for Russian airlines to introduce additive manufacturing
SABIC launches new glass fiber reinforced thermoplastic load-bearing sheet STADECK
China’s high-performance carbon fiber composites company develops thermoplastic composite automotive lightweight products for Ford and successfully mass-produces Hay:D02JFKJ
China Carbon Materials Leading Manufacturer Invests 100,000 Tons of Ultra High Power Graphite Electrode Project Hay:B06FDTS
The thinnest [0.014mm thick] Ultralight magnesium lithium alloy foil Hay:A03ZZQY
Chinese precision structural maker wins order for Apple MacBook metal case Hay:T01CYJM
Teijin Composites expands and acquires Portuguese composite supplier Inapal
Mitsubishi Electric will provide samples of industrial color TFT LCD module 7.0-inch WXGA
Canadian 3D printing company Mosaic Manufacturing has recently launched the 3D printing device Palette 2
Chinese special alloy manufacturer: nickel-zinc-copper alloy wire, precision resistance alloy, monel alloy Hay:A03XMHJ
South Korea’s SK Innovation builds battery plant to expand automotive battery business
China Leading Manufacturer and Supplier for Electromagnetic shielding material, graphite sheet heat dissipation materialHay:F05SZFR
China-made micron-level copper foil high-speed coating equipment
China’s lithium battery separator leading enterprise plans to build 20 wet-process separator production lines Hay:N02CYZL
SK Chemicals and Teijin joint venture Initz develops new Ecotran polyphenylene sulfide PPS material to solve fogging problems in automotive headlights
China’s lithium battery cathode material, rare earth material, magnetic material, samarium cobalt permanent magnet material manufacturer 10,000 tons of cathode material production line project put into operation
BASF expands hydrolysis-resistant thermoplastic polyester Ultradur HR series for electric vehicles
China’s new-generation semiconductor laser gas sensor domestic chip available, is expected to achieve mass production
China’s high-performance rare earth aluminum alloy project put into production Hay:A03HNHJ
China Manufacturer and Supplier for Spherical silica powder and angular silica powder Hay:B04ZJHF
Chinese polyurethane resin, polyurethane adhesive, polyurethane elastomer and polyester polyol producers launch second phase polyurethane expansion project
China Leading Manufacturer and Supplier for Zirconia ceramic mobile phone back plate, zirconia ceramic microbeads, zirconia ceramic powder for surgical implants Hay:B01DFGY
Typical metal materials in special environments: super stainless steel, heavy-duty wheel steel, high-end bearing steel, high-voltage high-frequency contact alloy, breakthroughs in service behavior and key technologies for extending life
China’s first single crystal nano copper bonding wire production line realizes commercial production Hay:I04ZJZW
Nanocomposites may become the next generation of aviation materials
Fortune 500 medical technology company Stryker announces wholly-owned acquisition of Virginia medical device maker K2M
Chinese Academy of Sciences Suzhou Nano Institute and Airbus jointly establish “Aeronautical Nanomaterials Joint Laboratory”
Allegheny Technologies ATI expands aerospace business with acquisition of metal additive manufacturing company Addaero Manufacturing
Magna Electronics and Rohinni form joint venture to produce ultra-thin MicroLED
China Baotou invests 1 billion to build a high-purity rare earth metal base with an annual output of 30,000 tons
Focusing on precision Electrochemical Machining , ECM technology, Germany’s EMAG Machine Tool Group and Nanjing University of Aeronautics and Astronautics jointly build an advanced manufacturing joint research center
The DMU 340G linear five-axis machining platform manufactured by Demagison Precision Machinery, the world’s largest ultrasonic-assisted machine tool is about to enter the University of Sheffield Advanced Manufacturing Research Center AMRC
3D printed bulk amorphous alloy with fuse manufacturing technology
Basalt fiber decorative insulation board,Basalt fiber high-temperature corrosion-resistant filter material, filter bag Hay:D01ZZDD
China Power Lithium Battery Material Manufacturer Power Lithium Battery Diaphragm Project Completed and Put into Production in the First Half of 2019
GKN Aerospace 3D printed turbine for Ariane’s Prometheus engine
China’s leading nano silver transparent electrode manufacturer realizes Commercial production of nano silver transparent conductive film Hay:F04SZHK
Coriolis Group acquires MF TECH to expand its market in automated carbon fiber winding
Covestro launches new polyurethane products for efficient thermal insulation in buildings
Zhongke Electric: completed 5000 tons of lithium ion battery anode material production line in the first half of the year
China puts on graphene anticorrosive and antirust paint “armor” for the world’s tallest transmission tower
Universal adhesive works under water,Instant bonding of polydimethylsiloxane and polytetrafluoroethylene
Researchers study bismuth films to develop electromagnetic radiation protection shields
Heraeus Introduces New Infrared Heater to Improve Semiconductor Production Efficiency
Covestro Medical Grade Polycarbonate Helps Chinese Medical Manufacturers Optimize Needleless Injection Products
BASF invests in capacity expansion of alkoxylate surfactants
Covestro: Developed a new type of super plastic, high performance thermoplastic (HPT)
Volkswagen will collaborate with Hewlett-Packard (HP) and GKN Powder Metallurgy to develop new 3D printing technology to use 3D printing to make automotive functional parts
The world’s largest 10,000-ton / year full-color green environmental protection rare earth pigment industrial base project started
Plug Power self-produced metal stack membrane electrode key components
China Leading manufacturer and supplier of High-precision bearing steel balls, precision non-sound steel balls, steel balls for wind power generation Hay:U03JSLX
Procter & Gamble’s Air Assist Liquid Packaging Technology Wins Dow Packaging Innovation Award
Toyota North America, Kenworth and Shell collaborate on hydrogen fuel cell freight project
China Basalt Fiber Company successfully ignites 1,200 tons of basalt fiber full electric melting tank kiln production line Hay:D01ZZDD
Mobile360 Special Vehicle Safe Driving Kit Combines With Forklift
Toyota 86 sports car uses nano-cellulose parts to reduce body weight by 40%
China Manufacturer and Supplier for Microprism ultra-strong reflective film, microprism reflective material Hay:F06FJYG
Huntsman launches new all-water foam DALTOPED AquaPUR sole system to achieve better footwear surface treatment
The first phase of China New Energy Lithium Battery and Energy Storage Battery Technology Company’s power and energy storage lithium-ion battery project started
Mitsubishi Chemical to build new high-performance polymer production base in China
German medicine and health care specialist Gerresheimer AG has started production of the new Gx RTF ClearJect syringe.
World Powder Metallurgy Conference (WORLDPM2018) held for the first time in China
Solvay debuts at the 20th China International Industry Fair (CIIF 2018) with its specialty polymers, composites, specialty chemicals, and white carbon business
COMAC’s composite materials project selected for AI innovation project of MIIT
UK KM Packaging keeps up with market demand for new plastic film lids for food trays
DSM’s Large-Scale Additive Manufacturing Technology Issues New Carbon Fiber Reinforced Nylon 6 / Nylon 66 Filament Novamid® ID1030 CF10 for 3D Printing Excellent Carbon Fiber Filament Performance
Additive manufacturing technology company AMT launches PostPro3D, an automatic surface smoothing machine for 3D printed parts
Plessey Semiconductor orders AIXTRON’s AIX G5 C organic metal chemical vapor deposition (MOCVD) reactor to help MicroLED production
Bosch reinvests in ABAX Sensing to support development of solid-state lidar technology
Ultra-thin titanium oxide layer coated on nanostructures: Patented nanostructure for solar cells: Rough optics, smooth surface
LANXESS is continuing to invest in its global high-performance plastics Durethan and Pocan Engineering Plastics
BASF opens new production facility in Taiwan to meet global market demand for first foamed thermoplastic polyurethane material Infinergy® E-TPU
Teijin to build the world’s first advanced fiber-reinforced wood structure
Applied Graphene Materials (AGM) Introduces a Series of High Performance Anticorrosive Coatings Genable® 3000 to Meet More Harsh Environment
Borealis and Bockatech collaborate to develop foam injection molding EcoCore technology
German DELO company developed a New Structural Adhesive DELO MONOPOX HT2860 with High Temperature Stability
China Leading Manufacturer and Supplier of ceramic dielectric filters, 5G dielectric waveguide filters, dielectric resonators, antennas, and cavity filters Hay:I05JSCQ
Swiss wind energy core material supplier Gurit Composites Co., Ltd. acquires JSB Group
Fraunhofer Institute in Germany: New breakthroughs in wearable portable batteries
3DGence launches new dual extruder DOUBLE P255 3D printer
Safran Electric & Power has partnered with British additive manufacturing software Betatype to develop 3D printed motor housings. Through 3D printing technology and additive manufacturing design, the design of the motor housing is optimized.
3D printing industry Interview: Dr. Haercker, Oerlikon Additive Manufacturing Industrialization Leader
New kilowatt-class graphene-based aluminum air batteries / Metal-air batteries overcome thermal escape problems
Desktop Metal enables high-volume 3D printing of metal parts
Chinese special glass manufacturer mass produces 0.3mm float glass, high-strength ultra-thin aluminosilicate touch screen glass Hay:B04SCXH
Fraunhofer ILT and partner Rapid Shape are developing a new light-curing 3D printing technology-TwoCure
Boeing and Thermwood partner to produce large 3D printed single-piece tools for Boeing’s 777X project
ThyssenKrupp Group to split into two companies
Chinese spring flat steel and automotive leaf spring manufacturers have developed a new type of automotive spring flat steel, hot-rolled ribbed steel bars, to promote the lightweight development of the automotive industry Hay:Q02FDTG
China “Double bottom blowing” continuous copper smelting technology reaches international leading level
Cabot develops new low-cobalt active cathode formula for lithium-ion batteries
BASF’s new PPA Ultramid® Advanced series: replacing more metals
United Battery Metals (UBM): Vanadium will become a new generation of large battery metal raw materials for energy storage systems
3D Systems releases wax mold 3D printer ProJet MJP 2500 IC for foundry industry
Metawave Corporation car radar integrates Infineon chip with maximum detection range of 300 meters
China core heat-resistant material of the ultra-supercritical thermal power unit: a breakthrough in the nickel-based heat-resistant alloy boiler tube of the ultra-supercritical thermal power unit at 700 ℃ Hay:A04TGJT
LANXESS subsidiary Bond-Laminates expands capacity for its continuous fiber-reinforced thermoplastic composites
Research progress on self-growth of shape memory polymers in femtosecond laser in China
China manufacturer and supplier pf high-performance polymer foam, foamed polypropylene EPP, foamed polyethylene (EPE) lightweight materials Hay:C03WXHT
Kraiburg TPE to launch new FC / AD / PA THERMOLAST K series thermoplastic elastomer TPE
Trapped atoms help oxide films protect against corrosion
Candidates to replace silicon solar cells in the future: halogenated perovskite materials with unique properties
Chinese researchers develop wheeled robot based on liquid metal
Larson Electronics releases lightweight, non-drilling magnetic steel mounting plate
Chinese special quartz material manufacturer starts 72,000 tons of high-purity quartz fine powder project Hay:B04JXJJ
Electronic grade spherical silicon fine powder crystal silicon dioxide test national standard released
China Power Lithium Battery Material Manufacturer’s 100,000 Tons Lithium-Ion Battery Negative Material Project Planned to Complete This Year
TenCate Advanced Composites collaborates with Aston Martin on Valkyrie supercar project to provide composite parts
FRX Polymers introduces non-halogenated flame retardant Nofia for PUR foam
Ford partners with Tier I Eagle Industries and XG Sciences to make innovative progress in graphene-reinforced polyurethane foam for automotive parts
LANXESS introduces new low-free monomer polyurethane prepolymers for adhesives and sealants
China Baowu Iron & Steel Group plans to invest in China’s special Magnesium aluminum alloy alloy and aluminum strontium alloy manufacturers to deploy magnesium and aluminum products industry Hay:A03NJYH
LANXESS expands product range of halogen-free flame retardant PBT compounds
British Super Run Briggs Automotive develops graphene-reinforced carbon fiber composite applications
Chinese steel structure manufacturers sign structural cooperation agreements with structural steel: hot-rolled coil, section and spiral manufacturers
Graphene silicon-based lithium-ion battery anode improves battery energy density and increases electric vehicle range
Scion Aviation has applied DUNA-USA’s BLACK CORINTHO 800 high temperature tool board to helicopter parts
Kolon BASF innoPOM, a joint venture between BASF and Kolon, opens a new $ 220 million POM plant
Nissan Leaf electric vehicle uses aluminum foil on the roof to prevent heat from entering the car.
Mass production of nanoscale electrodes becomes possible
German ProTec company developed efficient and environmentally friendly polymer foam system
Fused quartz leader Heraeus Shanghai Innovation Center completed
LED Light Emitting Diode EPI maker Lextar Ronda Electronics released the latest automotive light application module I-Mini Square
The world’s longest glass fiber composite wind Turbine blade successfully passed the full-scale static load test
Regenerative medicine company Precise Bio announces ophthalmology business unit, develops 3D printed cornea
Fraunhofer LBF develops phase change materials and “sandwich” structure to improve battery case strength and thermal insulation performance
Ford motor develops carbon fiber rear suspension knuckle
Vole Car Group’s independent high-performance electric vehicle brand Polestar 1 successfully conducts crash test, proves that carbon fibre reinforced polymer body has sufficient strength to withstand impact impact
The ternary high-nickel era has accelerated, and demand for 811 cathode materials has exploded
Former President of OSRAM SYLVANIA named CEO of Eiko Global
Dow’s DuPont split, three independent companies Dow, DuPont and Corteva
Clariant claims high-performance materials business with SABIC will increase profitability
KW Special Projects (KWSP) Provides Carbon Fiber Composite Chassis Structures for Uniti One
AkzoNobel acquires 100% of Colourland Paints Sdn Bhd and Colourland Paints (Marketing) Sdn Bhd
STRUCTeam, a composite engineering consulting company, announces that PULLWind, a strategic alliance for composite wind blade manufacturing led by it, joins new members: carbon fiber manufacturer DowAksa
China Aerospace Aerospace Specialty Aluminum Alloy Material Manufacturer’s Special Aluminum Alloy Vehicle Lightweight Project Commences Hay:A03FJXX
China’s ultra-fine powder technology, polyamide micro-powder wax, polytetrafluoroethylene micro-powder, nano-white carbon black, composite materials manufacturers develop anti-static nano-conductive titanium dioxide that can be applied to powder coating
Roboze’s upcoming Xtreme 3D printer series and high-performance 3D printing materials
China’s Nanchang is expected to become the world’s largest MOCVD production base
The two US optoelectronic device giants announced a merger. II-VI, the global leader in engineering materials and optoelectronic components, and Finisar, the global technology leader in optical communications, announce that the two parties have reached a
China Manufacturer and Supplier of Carbonyl iron powder, magnetic material powder, atomized alloy powder, metal injection molding feed, 3D printing alloy powder, energy storage material Hay:A05JSYA
TIAX develops GEMX composite to reduce cobalt usage in lithium battery cathodes
German industrial 3D printing system manufacturer EOS announces that it will introduce “revolutionary LaserProFusion polymer additive manufacturing technology” in 2018
BASF will announce new partnerships for Origin, Photocentric and Xunshi Technology and AM materials at Formnext 2018 in Frankfurt: photopolymers and laser sintering materials
One of the world’s largest medical device companies St. Jude Medical Inc in China
GKN Aerospace and COMAC, AVIC form metal / composite aviation structural joint venture
French professional ceramic 3D printing manufacturer 3D Ceram ceramic 3D printable large-size silicon nitride ceramic material, FORMNEXT 2018 highlights
China Energy-saving and environmentally friendly aluminum alloy doors and windows, special fire doors and windows, and building curtain wall projects are put into production
SABIC Saudi Basic Industries Introduces LEXAN CXT High Temperature Resistant Film
German 3D printer and injection molding system maker ARBURG launches multiform and multicolor 3D printer freeformer 300-3X for the first time
Murata Manufacturing has developed an ultra-thin lithium-ion battery with a thickness of 0.05mm
German 3D printing service provider Modellbau Kurz invests in Chinese continuous additive manufacturing solution provider
Wood Mackenzie Power & Renewables recently released a report predicting that battery capacity in Europe will grow 20 times in the next seven years, and by 2025 battery output is expected to increase by 90GWh per year
China has made a series of progress in the field of flexible / elastic magnetoelectric functional materials and devices
Spanish manufacturer of 3D printer TRIDITIVE uses BASF metal polymer wires Ultrafuse 316LX to mass produce metal parts
U.S. healthcare company Baxter International in China
Adopting world-leading technologies such as full carbon fiber composite material skeleton, China’s first large-scale manned airship goes offline
LG Chem and German Volkswagen sign 7 trillion won supply contract for electric vehicle battery packs
GW scale double-sided AlOx passivated PERC battery mass production The highest efficiency of the production line reaches 22.51%
The global compound annual growth rate of cylindrical lithium ion batteries in 2019-23 exceeds 18%
Xianfeng New Energy Lithium-Ion Enrichment Material Co., Ltd. puts into operation a production line for an annual output of 4,500 tons of brine for lithium extraction.
Silicon technology innovation company Siltectra is acquired by Infineon. Infineon plans to mass-produce silicon carbide “Cold Spilt” within 5 years
China’s first solid-state lithium battery production line is completed and put into production in Kunshan
Toray develops new carbon fiber with high strength and high elastic modulus
DSM Akulon Ultraflow can replace metals and plastics
Bridgestone Expands Truck, Bus Radial Tire (TBR) Capacity in North America
Toray to launch Ultrasuede BX, the world’s first non-woven suede fabric based on plant polyurethane
Makefast Workshop enables spring 3D printing without support material
New metal-ceramic research and development project for Chinese carbide precision tool maker begins
Covestro launches carbon dioxide-based material for synthetic sports flooring
China’s advanced nuclear-grade zirconium alloy materials achieve large-scale production and meet the requirements of the third generation nuclear power
LG Innotek Introduces Automotive Linear Lighting Module: The Thinnest Exterior Light
SCHOTT launches its new aesthetic lighting LuminaLine concept
Electrovaya and Sustainable Development Technology Canada (SDTC) develop safe and durable lithium ion ceramic batteries, which can be used in electric passenger cars and commercial vehicles
Problems and challenges of ternary nickel-cobalt-manganese cathode materials for lithium ion batteries
Chinese monocrystalline silicon manufacturer newly invests 60,000 tons of high-purity polysilicon for mass production next year
Ultra-high-purity molybdenum target for tungsten molybdenum liquid crystal flat panel display goes offline Hay:A01NBJF
Saudi Aramco to invest $ 100 billion in chemicals in nearly 10 years
Composites Evolution, a manufacturer of prepregs and natural fiber-reinforced fabrics, launches linen-carbon hybrid fiber prepreg system
Shanghai Aviation Material Structure Testing Co., Ltd. obtained Nadcap non-metallic material testing qualification certificate
China’s Inner Mongolia Rare Earth New Materials Industry Park starts the first batch of rare earth metal projects
LG Chem’s Chinese polarizer production project is expected to start production next year
Osram launches long-life LEDs for general lighting
The DSM Group launched three coating resins at ChinaCoat 2018: one-component waterborne wood lacquer resins that can replace two-component systems, and coating resins for automotive refinish paints and other metal and plastic surface coatings.
HRL Lab and DARPA collaborate to advance GaN integrated circuit technology to maturity
Lansi Technology lays out 5G glass / ceramic mobile phone back cover materials in advance
Chinese high-precision lead frame copper strip manufacturer successfully produced 0.05mm copper plate and strip Hay:A03ZLHZ
Bombardier to play important role in future Airbus composite wing project
Covestro polycarbonate blend powers BMZ GmbH battery home storage
China’s super capacitor core electrode material activated carbon large-scale continuous production technology breakthrough Hay:B06BHXS
Chinese integrated circuit and optical high-performance quartz glass manufacturers build new quartz glass ingots and fiber reinforced materials projects! Hay:B04SHFL
Corning is developing foldable 0.1mm display glass
China alumina rich spinel micro-powders, high-temperature alumina micro-powders,magnesia-alumina spinel,tabular alumina and other refractory raw materials Hay:B03SDHJ
Renishaw has partnered with the Shanghai Additive Manufacturing Innovation Center (SAMIC) to build a Joint Innovation Lab. Aim to jointly promote technological innovation in additive manufacturing
New quantum materials will take computing devices beyond the semiconductor age
BASF’s Chimassorb light stabilizer improves durability of TPO roofing membranes in China
Copper-steel composite material manufacturing technology-some outstanding technical achievements in China’s advanced materials field
Global leading plastics, latex adhesives and synthetic rubber materials manufacturer:Trinseo names new global commercial director for adhesives and construction businesses
Application of Cr2O3-A2O3 refractory castable in melting furnace
Chinese photovoltaic photovoltaic glass, high-end electronics and photovoltaic ultra-white glass manufacturer successfully produced 19mm ultra-thick glass Hay:B04CZQB
British aluminum-based composites expert Alvant develops aluminum-based composites with GE Aviation, YASA Motors, and the National Composites Center
Silicon graphene material developer SiNodeSystems (SNY) and specialty chemical manufacturer JNC form a joint venture NanoGraf to promote the commercialization of graphene-silicon lithium ion batteries and advanced materials
Lithium Australia uses mining waste to make lithium batteries
Adjusting mechanical properties according to magnetic fields Metamaterials can change from flexible to rigid
China’s Third Generation Semiconductor Silicon Carbide (SiC) Wafer Manufacturer Purchases 26 Silicon Carbide Related Patents
Panasonic Suzhou starts production of reinforced substrate material MEGTRON GX next year
Chinese Nano-SiC reinforced aluminum matrix composites manufacturer has developed new ceramic aluminum materials,nano-ceramic alloy aviation composite materials Hay:D04SHJY
Constellium build a new plant for the production of aluminum automotive structural parts in China
China breaks through 1.2 million tons of ethylene plant core technology:Cracking gas, ethylene, propylene compressors
China’s Thermoplastic Polyurethane Elastomer (TPU) Manufacturer’s New Materials Division Passes IATF16949: 2016 Automotive Quality Management System Certification Hay:C02SDWH
Evonik acquires PeroxyChem, a producer of hydrogen peroxide (H2O2) and peracetic acid (PAA), for $ 625 million
Composite material lightweight electric concept car “ItoP” unveiled in Japan
HardALU project develops fluidized bed technology to reduce thickness and weight of aluminum castings
University of Manchester invests £ 60 million, Graphene Engineering Innovation Centre officially opens
World leading coatings supplier PPG acquires coatings manufacturer WhitFord Global
Tetra Pak packaging’s high-end aluminum foil supplier`s precision ultra-thin aluminum foil new project put into production Hay:A03WHHX
China Bridge pavement with ultra-high-performance wear-resistant concrete or zero maintenance
20 new materials with super potential in 2019: infinitely recyclable plastic
The first phase of China’s e-paper project was officially completed and put into production, with an estimated annual output of 30 million e-paper modules
Top 20 new materials with super potential in 2019: self-healing (healing) materials
PulpWorks’ Karta-Pack (cotton fiber): 20 new materials with super potential in 2019
Global leading manufacturer of special-shaped copper busbars and flexible connections for rail transit locomotive Hay:D04XASR
Fujian Ningde has become the world’s largest polymer lithium-ion battery production base
Magneti Marelli to bring third-generation Smart Corner automotive lighting solutions to CES 2019
Metal 3D printing company Aurora Labs and mining industry group Worley Parsons form joint venture AdditiveNow for energy industry
Adding oxygen to nickel metal hydride batteries at Stockholm University
China independently casts 300 MW-class F-class heavy-duty gas turbine turbine large-size first-stage stationary blade castings Hay:T02JSYH
Chopped carbon fiber combined with ABS works amazingly in 3D printed parts
China’s special precision aluminum alloy manufacturer successfully developed special high-precision thin-walled special pipes for aircraft Hay:A03CQXZ
WACKER Chem creates comprehensive medical silicone rubber solutions and SILPURAN® and ELASTOSIL® dual-brand product strategies
China’s optoelectronic products: manufacturers of micro camera modules, touch screens and touch display full lamination modules have obtained 1,040 patents and licenses from Fuji Tianjin, expanding their advantages in the field of optics
High-strength glass fiber and basalt fiber were included in the “Special Plan for the Development of New Materials Industry in Shandong Province (2018-2022)”
Chinese power battery manufacturer invests 1.5 billion in graphene lithium battery project
China Leading Manufacturer and Supplier for MW-class wind power generator shafts and Large precision castings and forgings components Hay:U02TYZG
Manufacturers of cooling films, cooling coatings, and powder composites based on radiant cooling technology: the world’s first batch of industrialized cooling films goes offline in Ningbo Hay:F03NBRL
PolyOne, the world’s leading professional polymer material, announces acquisition of Fiber-Line
Kyocera Group and ITOCHU Corporation invest in semi-solid lithium battery project
World’s Largest Monolithic Polycarbonate Project Begins
European Si-DRIVE Alliance collaborates to develop power battery technology
Russian basalt company to build continuous basalt fiber and its reinforced composites production plant in Saudi Arabia
Syrah Resources U.S. plant to produce 5000 tons of lithium battery anode material spherical graphite plant
High-purity graphite, advanced non-ferrous metal materials and special aluminum alloy materials selected into China’s key new material application demonstration directory
Additec launches uPrinter desktop metal 3D printer, Can use both metal powder materials and metal wires
China Leading Manufacturer and Supplier of Ultra-thin TC4 cold rolled titanium alloy strip, titanium and titanium alloy strip, nickel and nickel alloy, high temperature alloy sheet Hay:A04ZLSY
The application development and engineering project of amorphous strip in power system won the National Science and Technology Progress Award
US-based manufacturer of smartphone accessories KerfCase and Covestro launch new charger
French Time Sport International company uses glass fiber + basalt fiber composite materials to create lighter and more economical mountain bikes
Huntsman Textile Dyeing and Chemours have agreed to expand their long-term strategic cooperation in the field of durable waterproofing (DWR)
Chinese precision copper tube, copper rod and copper bar manufacturer starts construction in Thailand’s second phase Hay:A03ZJHL
Efficient preparation of graphene based on supercritical carbon dioxide stripping technology
China Advanced Ceramic Fiber Project Wins Bronze Award in Innovation Group of World New Materials Innovation and Entrepreneurship Competition 2018
Covestro showcases new Baycusan® eco series of bio-based polyurethane film formers at Cosmetogra, providing sustainable formulations for cosmetics
Kwambio showcases adhesive-jet ceramic 3D printer at CES
Ford Motor Company and Volkswagen Group establish global strategic alliance
China’s first national standard for graphene materials is officially released
China leading manufacturer and supplier of High-precision rolling function parts: high-speed ball screw, high-speed rolling linear guide Hay:U02NJGY
China develops new light-to-heat conversion refractory paper
Solidscape, a subsidiary of French 3D printer maker Prodways Group, has launched the first digital light processing (DLP) 3D printer
Corning Introduces Industry’s First AutoGrade ™ Glass Solution for Automotive Interiors
Colorite, a subsidiary of biomedical grade packaging and piping materials manufacturer Tekni-Plex, extends the durability grades of its Cellene® thermoplastic elastomer (TPE) compounds
Intelligent street lights with modular intelligent new lighting materials manufacturer and supplier
Manufacturers of electromagnetic radiation control materials and absorbing materials have developed high-permeability magnetic substrates Hay:F05CDCJ
Miss Curiosity announces strategic partnership with Hyora, the world’s largest spandex brand maker Creora ®
Supplier of electronic ultra-precision connectors and electronic modules for Apple, Huawei, Samsung and Tesla Hay:F04SZCY
Plastic Omnium and Hella work together on integrated body exterior and lighting systems
US Army studies 3D printed ceramic body armor, mimicking abalone shell mechanism
Myrdal Plastic Surgery Technology Adds Abrasion Resistant Material Basalt Fiber Components And Products To Its Product Line
Key materials for power lithium batteries: silicon carbon anode materials
Swiss RUAG airline develops laser metal deposition 3D printing technology to reduce aircraft maintenance costs
Israeli 3D printing company Nano Dimension, famous for its electronic 3D printing technology, has a total revenue of over 30 million in 2018
葛兰素史克GSK使用全新的“ 基于优势”(Strength-based)的面试
Special chemical company Solvay adds advanced polymer materials to E-XStream simulation software: 10% carbon fiber-filled KetaSpire polyetheretherketone (PEEK) and Radel polyphenylsulfone (PPSU)
Manufacturer of automotive thermoplastic and thermoset lightweight fiber composites and structural parts invests in second production base Hay:D02HBSS
Johnson & Johnson subsidiary DePuy Synthes invests 36 million euros to advance research and development of 3D printed implant materials
Naval Group and Centrale Nantes3D collaborate to print the world’s first hollow propeller blade
Covestro develops new polycarbonate Makrolon Rx2235 for smaller medical devices
Powder superalloy forgings, high-quality spherical metal powder, hot isostatic pressing alloy parts manufacturer and supplier Hay:T02BJGY
Huntsman to launch new water-foam polyurethane technology at SIMAC
Solar Energy’s 3,000-ton silicon rod and single crystal silicon wafer project officially put into operation
Swiss security company UrbanAlps prints highly secure metal keys and locks, metal 3D printed security key called Stealth Key
Ford finds graphene helps polyurethane meet challenges
TPK Holding plans to mass produce nano-silver touch panels
Successful trial production of GaN materials for 5G chips in China
China’s high-end forging technology leader, “Automotive Composite Body Body Molding Technology and Equipment” and other major special projects started
Composites maker Kordsa plans to acquire ceramic-Matrix composite CMC maker Axiom to expand its position in aerospace
Chinese auto parts manufacturer invests in magnesium alloy forged wheel production line
Covestro Waterborne Polyurethane Coating Technology Assists Electric Vehicle Industry to Go Green
Teijin Group acquires Renegade Materials Corporation, a manufacturer of high-temperature thermosetting prepregs for American aviation, to strengthen the production capacity of aviation thermosetting carbon fiber prepregs
Teijin launches Japan’s first Shuangma carbon fiber prepreg product with both high temperature resistance and impact resistance
Pyromeral Systems, a manufacturer of high-temperature glass ceramics and oxide composites, releases ceramic matrix composites PyroXide: a ceramic matrix composite reinforced with 3M’s Nextel ceramic oxide fibers
5G new material industry insight: Liquid Crystal Polymer / LCP Film, the revolutionary core film material for global 5G antennas
Chinese new energy vehicle manufacturers join hands with special steel manufacturers to jointly develop ultra-high-strength lightweight automotive steels
Hexion introduces two-component epoxy resin for aerospace composite production
BCAST and Constellium aluminum develop high-strength aluminum alloys to help automotive lightweight
China super steel and special steel industry development and application fields
Russian BPL Snowboard company develops new snowboard made of triaxial basalt fiber fabric
Chinese silicon carbide crystal and substrate wafer manufacturer builds new 4-6 inch silicon carbide wafer project Hay:I04ZKGY
Heraeus introduces the world’s first AgCoatPrime bonded gold-plated silver wire for semiconductor technology: ensuring high performance at a lower cost
Explore the diversified applications of carbon fiber composites Chinese composites lightweighting experts at the JEC World 2019 with cutting-edge products Hay:D02JSHR
Solvay and composite engineering company Airborne develop automated composite processing solutions
The world’s leading supplier of metal parts forming and surface treatment technology: Norican group unveiled at Metal + Metallurgy China 2019 with Disa,Italpresse-Gauss,Strikowestofen,and Wheelabrator
Aerospace giant GE Aviation plans $ 50 million to stimulate additive manufacturing business
Manufacturers of electronic functional materials, new energy materials, optoelectronic display materials, and high-performance fiber materials cooperate with Toray and Hiel to develop a thinner, more flexible, more accurate Force Touch Sens Hay:I01SZXL
New solid materials aerogel and graphene, Singapore Science and Technology Park project settled in Hunan
Volkswagen partners with Northvolt, a Swedish battery manufacturing startup, The two sides will work to advance the development of European electric vehicle batteries
Hanyang University uses amorphous Al2O3 coating to improve the fast charge performance of graphite anodes for lithium batteries
Australian lithium and tantalum producer Pilbara Minerals Limited and Posco make joint venture to produce lithium battery anode materials
Basalt rock wool products and basalt fiber products manufacturer Basalt Continuous Fiber Project officially signed Hay:D01SCPW
Core material of lithium battery: lithium battery separator
McLaren Composites Technology Center (MCTC) delivers first carbon fiber automotive chassis
Korea Institute of Carbon Convergence Technology, KCTECH and Kia Motors accelerate the application of carbon composite parts in the automotive industry
German company AeroLas develops new spinning process by combining carbon and thermoplastic fibers GmbH
Electronic information ultra-thin substrate glass manufacturer invested in the 0.25mm-1.1mm ultra-thin electronic glass project
Thermoplastic Composite Specialties: Xenia Materials introduces a series of short carbon fiber filled polypropylene thermoplastic composite grades
Forbes福布斯全球顶级管理咨询公司与全球最佳管理咨询公司排名2018
Toray Industries develops a new type of highly flexible polyphenylene sulfide (PPS) resin for automotive piping
Chinese lithium battery material maker signs long-term supply agreement with Korean companies SKI and Ecopro
Chinese precision steel strip manufacturer introduces American Nucor ultra-thin strip CASTRIP double-roll thin strip technology to production of Ultra-thin, extra-wide high-strength steel and special steel strip Hay:A02SGJT
Hastings Technology Metals to raise $ 24.5 million for its $ 427 million Rare Earth project, which provides materials for electric vehicle-specific magnets
BASF and Aspen Aerogels expand strategic partnership to cover new high performance thermal and flame Fire-resistant and ultra-efficient aerogel insulation technology products
Dow grabs 5G materials market: enters the electromagnetic shielding market and heat dissipation materials
0.18mm specification 060 grade (B18R060) extremely low iron loss oriented silicon steel developed by Chinese special steel manufacturer, which is currently the world ’s lowest loss oriented silicon steel Hay:F05SHBG
Metal powder expert Heraeus exhibits light and large 3D printed amorphous metal parts at Automate 2019
German metal 3D printing company SLM Solutions Group AG receives 13 million euros (approximately 97.763 million yuan) in investment from major shareholders Elliott and ENA Investment Capital
Australian lithium battery developer Core Lithium Ltd to expand cooperation with China’s largest lithium hydroxide and carbonate producer
Ube Corporation Europe S.A.U. (UCE) acquires majority stake in Spanish composite manufacturer Repol
Application of graphene materials in lithium ion batteries
Nokia, RMS and GF Precicast adopt 3D Systems plastic and metal additive manufacturing solutions
U.S. develops 3D printed epoxy / carbon fiber composites
Thermosetting and thermoplastic prepregs and non-curl fabric NCF materials are gradually recognized by aircraft manufacturers, Teijin Focus Aerospace Carbon Fiber Intermediate
Objectively evaluate the advantages and disadvantages of carbon fiber bicycles
Arkema’s N3xtDimension new production line for advanced light-curing liquid resin successfully put into operation
China’s photovoltaic glass export share rises
China another high-end titanium alloy materials, superconducting products and high-performance superalloy materials manufacturer successfully IPO Hay:A04XAXB
Aurora Plastics expands TPE portfolio with acquisition of Elastocon
The huge market potential of graphene composites
Chinese Leading Magnesium Alloy Manufacturers and US General Electric Reach Multiple Magnesium Alloy Deep Processing Cooperation Projects Hay:A03FJKF
GE Research uses 3D printing to manufacture super heat exchangers, which increases the temperature resistance by 250 ℃
Mitsubishi Chemical completes acquisition of PVC compounding business of Welset Plast Extrusions Private Limited and plans to build a new thermoplastic elastomer TPE plant
PiMEMS, a leading developer of titanium micro-technology and ultra-thin devices Acquired by Boyd Corporation, a leader in thermal management and environmental sealing
US Aluminum Association releases first additive manufacturing aluminum alloy standard system
Chinese lithium battery aluminum plastic film manufacturer breaks foreign technology monopoly. New aluminum plastic film production line reaches 100 million square meters Hay:N04JXMG
The new metal matrix composite material successfully developed in China can withstand high temperature of 3000 degrees, and has been applied in the hypersonic field Hay:D04ZNDX
Experts confirm that ferromanganese aluminum cannot replace silicon in electronics
Chinese special refractory manufacturer builds 20,000 tons of special graphite material production base Hay:B06ZGLN
Celanese Zenite® LCP polymer provides innovative solutions for high-end smartphone camera modules
Magnesium-aluminum alloy die-casting instrument panel brackets, automobile shock-absorbing towers and other key lightweight components new project for automobiles Hay:T02CQBA
US Aluminium Association registered the first high-strength aluminum alloy powder, laser 3D printing does not crack
China leading glass microbead reflective materials and high-end microprism reflective film materials manufacturer has successfully developed optical-grade PC / PMMA co-extruded films / sheets Hay:F06ZJDM
GM Sierra launches carbon fiber composite pickup truck for the first time
ITOCHU Corporation invests in composites manufacturer Singapore composites manufacturer Omni-Plus System Pte Ltd (OPS)
Silicon carbide semiconductor materials have broad application prospects in 5G, data centers, and new energy vehicles
LOW-E glass, crystal ultra-white glass, ultra-thin glass manufacturer’s third-generation ultra-thin electronic substrate glass production line put into operation Hay:B04LYBL
Anode material graphene superhard material Hegang, Heilongjiang, focuses on three graphite new material industrial chains
GE Aviation: Ceramic-based composites are at the core of the company’s strategic development of jet power systems
Toray successfully developed carbon fiber reinforced plastic (CFRP) prepreg for aviation and a new type of prepreg resin system for high-end automobiles and racing cars “Toray TC346
Gobal leading carbon fiber/Carbon fiber reinforced PEEK material 3D printing company:Oxford Performance Materials
Chinese apparel company launches graphene film jacket Hay:H02FZSF
China leading Low Expansion Alloys,Non-magnetic High Strength Stainless Steel, Thermobimetal Strips, High resistance heating alloys, Superalloys manufacturer and supplier Hay: F05BJBY
High-temperature bulk metallic glasses developed by combinatorial methods
Toray Plastics Precision partners with Japanese composite screen manufacturer to launch carbon fiber reinforced thermoplastic composite screen
FRX and Yoo-Point collaborate to develop flame retardant emulsions for polyurethane
Singapore develops new fiber-reinforced composites for concrete reinforcement
Covestro expands polycarbonate film production in Germany
Ultra-light carbon fiber structure seat with 3D winding process weighs only 10 kg
The global market size of PAN-based carbon fiber composite materials will reach 215.8 billion yuan by 2030
Researchers at Fraunhofer Institute for Applied Solid State Physics (IAF) in Germany have made major breakthroughs in the field of GaN power devices
New solid electrolyte developed successfully, all-solid-state battery achieves highest energy density
BorgWarner is expanding its battery business and investing in Romeo Power, a battery company founded by former Tesla engineers
Important progress has been made in the field of graphene aerogels of Suzhou Nano, Chinese Academy of Sciences
Cracking world-class problems in 5G equipment heat dissipation field China’s first graphene heat dissipation new material project puts into production this year Hay:F03HEXC
Clariant Introduces New Colorants and Additives for Medical Devices
U.S. proposes battery metal supply safety bill Cobalt lithium nickel and graphite are listed as key minerals
China’s first synthetic resin pillow turnout successfully passed acceptance
New TFP technology from fiber laying machine manufacturer ZSK enables low-cost and efficient production of carbon fiber preforms
Covestro wins Responsible Care Award for carbon dioxide-based plastic research
COMAC Aviation New Materials Academician Work Station Unveiled
Milgard® FRP Windows Named Best Brand in Western US
China Fine-grain Structural Steel / Grain refinement technology achieves breakthrough
Dassault Systèmes cooperates with Continuous Composites in the United States to develop continuous fiber reinforced composite 3D printing technology (CF3D)
AI SpaceFactory basalt fiber composite design wins NASA 3D printed habitat challenge
Hyundai and Kia Motors release press release that the two companies will jointly invest 80 million euros in electric supercar maker Rimac Automobili to develop high-performance electric vehicles
Mass production of innovative carbon nanotube supercapacitors by French startup Nawa Technologies
Corning releases Astra Glass protective glass: for iPad, laptop and 8K large screen devices
China makes important progress in topological semimetal Cd3As2 superconductivity research
CHINAPLAS 2019: BASF showcases Elastoflex® W flexible foam polyurethane system (PU), Elastollan® thermoplastic polyurethane (TPU), Ultramid® polyamide (PA) and Ultradur® PBT
CHINAPLAS 2019: Dow will showcase ENGAGE ™ 11000, NORDEL ™ 4571 XFM, 4771XFL and 3765XFL EPDM rubber
Specialty glass material leader SCHOTT launches new generation of RealView ™ glass wafers, widens AR / MR field of view by 65 degrees
China-made composite material automatic laying machine successfully developed
CHINAPLAS 2019 KraussMaffei-Berstorff launches ZE Gold Power Twin Screw Extruder
Chinese R & D team successfully developed super strong graphene film
Chalieco contracted EU electrolytic aluminum turnkey project for the first time
Global chemical giant BASF plans to invest $ 10 billion in China to build a new engineering plastics modification unit and a thermoplastic polyurethane (TPU) production unit
New breakthroughs in 3D printing technology! 3D printable high-strength ductile stainless steel parts
Impossible Objects and BASF team up to develop 3D printed carbon fiber reinforced nylon 6 composites
Toyobo acquires Teijin polyester film business
UK develops 3D printed metamaterials to improve sound quality
BASF increases attapulgite additive supply
Carbon Nanotube Conductive Agent for Lithium Batteries
Clariant builds new specialty black masterbatch production facility to meet China’s growing demand
Corning Environmental Technology’s China Plant officially begins mass production, producing carriers and particulate filters for automotive emission control systems
German automotive parts manufacturing company Continental AG opens 3D printing center
ABB to Provide Coating Solutions for SAIC-Volkswagen China New Energy Vehicle Plant
Century Tool expands production capacity of large composite molds
BASF signs cooperation agreement with China Automotive Interior Materials Co., Ltd. to jointly develop lightweight and low-emission polyurethane PU system products
NASA 3D printed mixed metal rocket engine combustion chamber
Manufacturers of permanent ferrite magnetic powder, magnetic core, and EMI shielding materials invest in nanocrystalline electromagnetic functional materials and wave absorbing material materials projects Hay:F05GDLY
BASF doubles production capacity with acResin® UV-curable hot-melt PSA
Manufacturer of printed circuit boards and electronic copper foil for lithium-ion batteries Invested 50,000 tons of electrolytic copper foil project Hay:A03JJDF
Super high purity magnesia magnesia refractory developed by Chinese magnesia refractory manufacturer chieve commercial production Hay:F03LNJC
LIPEX Engineering signs a contract with ABV Holding to build a delivery plant to produce basalt fibers
China’s synthetic hollow carbon tube aerogels are expected to be used in aerospace
China’s advanced polymer material technology leader invests 11.5 billion yuan to build polyimide film production base Hay:C04SZRH
The 5G era is coming Silicon is approaching its limit! Compound semiconductors, graphene, carbon nanotubes Who is the future of chips?
Chinese hydrogen energy company plans to jointly develop rare earth hydrogen storage materials with scientific research institutions
A new non-contact electrochemical treatment method developed by the China Carbon Fiber Research Institute, greatly reducing the amount of high-modulus carbon fiber filaments and broken wires
Swiss researchers develop new magnetically induced shape memory composites, which can be widely used in the fields of medicine, aerospace
Chinese scientific research team has researched cerium oxide and rare earth polishing powder for 20 years, and the research results have been transformed into more than 8 billion yuan
Toray develops new resin-based carbon fiber composite material, mainly used in the manufacture of aircraft components
Covestro’s commercial order for wind turbine blades successfully delivered, opens up new application areas for polyurethane
LG Chem’s High Performance Lithium-Ion Battery Separator Chinese Supplier’s Phase II Lithium Battery Separator Project Begins Hay:N02SHEJ
Polymers provide enhanced curing properties for bio-epoxy composites
Toray to bring T1100 carbon fiber and Cetex thermoplastic products to Paris air show
Spirit AeroSystems showcases newly developed new carbon fiber fuselage panels at Composites World/JEC, saving 30% in costs
Arnitel grades of high-performance specialty polymers continue to grow, DSM launches new production line in the Netherlands
Yachiyo Industries uses Mitsubishi Chemical’s carbon fiber composite materials to build a carbon fiber composite roof for Honda S660 models
Oerlikon Balzers, the world’s leading surface treatment supplier, opens new coating center to serve the automotive, aerospace and other industries
Toray DuPont will develop market demand for polyimide (PI) film “Kapton” for 5G communication applications, and newly develop a 3-layer structure co-extruded polyimide PI film product that can be used in flexible printed circuit boards (FPC)
U.S. LED driver supplier ERP Power LLC (ERP) announced the acquisition of Lumenetix Inc., a light source technology manufacturer for commercial, home, and professional film / studio lighting applications.
At CHINAPLAS 2019, ExxonMobil introduces two new high-performance polyethylene polymers for high-quality flexible packaging, as well as recyclable polyethylene single-material composite packaging solutions
Rolls-Royce, world’s leading jet engine manufacturer, purchases large metal 3D printer SLM500
The United States and Europe set off a new round of strategic research on raw materials, the dispute over key minerals may reshape the competitiveness of the country
British additive manufacturing expert Renishaw is helping precision engineering service provider Frazer-Nash Manufacturing to Kwikbolt 3D print aircraft mold equipment for its customer
Chinese Proton Exchange Membrane Fuel Cell Materials and New Nanostructured Carbon Materials Manufacturers Develop Nanoporous Carbon Support (NCS) Films for Hydrogen Fuel Cell Membrane Hay:N01NJDL
200 micron optics can be made! Nanoscribe, German manufacturer of two-photon additive manufacturing systems, launches two-photon 3D printer Quantum X
The world’s largest supplier of lithium-ion battery materials plans to buy 11.83% of lithium mine company Altura Mining Limited
Covestro’s Domagen plant’s TDI (for the production of flexible polyurethane foam) production exceeds 3 million tons. The daily output can meet the needs of 200,000 mattress production.
American Hexcel Materials Co., Ltd.and and composite laminate technology for Chinese aviation aircraft structural materials manufacturer jointly established composite testing laboratory Hay:D02SCMR
China’s super steel, microcrystalline steel manufacturer’s ultra-strong and wear-resistant steel, bainite wear-resistant steel mass production Hay:A02LNAG
University of Tokyo develops nanofiber-reinforced elastomer conductor
InkTec successfully mass-produces electromagnetic shielding films for automobiles
China’s high-end lithium battery separator leading manufacturer in second phase lithium battery separator project Hay:N02SHEJ
When is the market for basalt fiber composites breaking out?
Breakthrough of nano silver wire! Application of transparent conductive film in future flexible electronic equipment
Reifenhäuser Group to showcase innovative extrusion technology at K Düsseldorf 2019 for a sustainable future for plastics
Renishaw unveils styli made with 3D printing technology to measure internal structure
Kawatex develops lightweight carbon fiber reinforced plastic high-pressure hydrogen tank
China and Belgium strengthen deep cooperation in many areas of graphene
Polyplastics launches new grade of DURACON® / DURACON® POM, making parts smaller and thinner
WACKER CHEMICAL to release new silicone 3D printer and material ACEO Imagine Series K2 in 2019
Application of graphene metal-organic frameworks MOFs composites in high-performance electrochemical sensing
High-end quartz in optical communication applications: strong market demand, China’s production capacity leads
Teijin announced that it will acquire wholly-owned Czech Benet Automotive S.r.o, a leading automotive composites and parts manufacturer, to promote the development of lightweight vehicles
Exxeo unveils a carbon fiber hybrid piano with built-in battery
Method for improving thin graphene film performance
Continental produces but no longer develops internal combustion engines after 2030
Covestro develops 5G base station case materials
Sumitomo Chemical: sales of heat-resistant lithium battery separators to reach 600 million square meters in 2021
China cracks down on this year’s largest car aluminum alloy wheel fraud case involving 280 million yuan
China’s carbon fiber manufacturers have broken through key technologies of wet-jet spinning high-strength / medium-mode carbon fiber industrialization
Evonik’s VESTALITE S system epoxy system sheet molding compound SMC device, unlocking the lightweight potential of automobiles
Belvert, a natural mineral water brand, launches 100% rPET bottles
Bentley concept car uses carbon fiber and aluminum alloy composite materials, with a range of 700 kilometers and an acceleration of 2.5s
Metal and parts supplier Tower International agrees to sell to Autokiniton Global Group (AGG) for $ 900 million
Danish precision plastic parts manufacturer Unika achieves high-precision inspection of injection molds with ZEISS COMET 3D measurement
DuPont and ExxonMobil Elastomers collaborated successfully to develop new Santoprene thermoplastic vulcanizates (TPVs) for automotive corner forming seals
Hexcel-Arkema joint project “HAICoPAS” has been approved by Bpifrance. The HAICoPAS project develops high-performance PEKK / carbon fiber UD tape for primary composite parts
Hillenbrand to acquire Milacron, the world’s largest plastic machinery group for $ 2 billion
Battery production soars, shortage of critical battery materials urgently needs to be resolved
Japanese companies are investing in automotive films: KAYAKU: polarizers for liquid crystal displays; Dexials: Thermal conductive sheet; AIST: wire grid polarizers with low reflectivity and high durability
Chinese invisible braces 3D printing company completes hundreds of millions of C round of financing
Global leader in thermoplastic elastomer TPE bodies, KRAIBURG partners with Gutsche Engineering to make roof rail covers easier to install
SWM International is expanding thermoplastic polyurethane (TPU) film manufacturing capabilities at its Chinese plant
Another high-performance electronic grade PI films and high-end electrical grade PI films/polyimide film project in China officially begins industrial production Hay:C04JSZT
Covestro, the world’s leading polymer manufacturer, has successfully developed a new technology, Cardyon®, that uses carbon dioxide to produce flexible polyurethane foam insulation
Princeton University finds platinum alternative:hafnium hydroxide, could develop cheaper fuel cell
Saint-Gobain’s Composite Fiber 3D “Weaving” Technology for Aerospace Applications
Main application areas for carbon fiber reinforced PEEK composites
The development of flexible touch will drive the development of nano silver wire industry
Swiss polyurethane foam expert FoamPartner develops new foam for automotive roof
China’s high-end silicon components: the key silicon components of semiconductor etching process equipment-silicon ring and wafer project officially launched Hay:I03HFHM
K 2019 Evonik will showcase the high-performance polymer VESTAMID polyamide series and VESTENAMER as a thermoplastic processing additive
ExxonMobil U.S. high-performance PE production line puts into operation
Asahi Kasei develops polyamide bead foam to reduce weight and noise in cars
Solvay to unveil thermoplastic composite solutions and high-temperature polyetheretherketone (PEEK) polymer at K 2019, focusing on sustainable mobility and resource efficiency
Electrolytic copper foil for lithium batteries
China leading large-caliber thick-walled titanium alloy seamless pipes, Titanium and titanium alloy precision parts,extra-large titanium alloys parts,high-temperature alloys,ultra-high-strength metal hard-to-deform forgings manufacturer Hay:A04SYZT
Toray plans to replace ITO (indium tin oxide) film with photosensitive silver paste Laybrid and use it in flexible, foldable display market
Rheinmetall’s Pierburg wins large cooling valve order for traditional diesel and electric vehicles
The IFAM team has developed a new iron powder production process that reduces costs by 90%. This process can also provide a low-cost alternative to HDH titanium powder and other alloys
China turbocharger technology leader BMTS Technology Shanghai plant turbocharger production exceeds 2 million mark
Russia finds ways to reduce production costs of aviation magnesium
ZF Friedrichshafen AG uses artificial intelligence to detect passenger motion sickness
Voyant Photonics raises $ 4.3 million to develop lidar-like lidar system
Bumchun Precision opens new aluminum battery terminal plant in Hungary
BorgWarner Korea celebrates milestone of 70 millionth starter
3M Scotchlite reflective transfer film C790 coming soon, improving low light and night visibility
Hella’s customizable fault failure and operational assistance control module paves the way for autonomous driving
Chinese special glass: LCD glass substrate, LCD panel, cover glass, photovoltaic glass manufacturer expands production capacity of lithium aluminum silicon cover glass again Hay:B04CHJT
China discovers unusual new copper-based high-temperature superconducting material
Cree, Inc. and ON Semiconductor Sign Multi-year Supply Agreement for $ 85 Million SiC Wafers
Car seat supplier Adient’s third-quarter net loss of $ 321 million
Higher energy density than solid-state batteries? Tesla and partners discover new lithium battery technology route
Voith Introduces New High Quality Polyurethane Rubber Roller for Film Brushing Machine (SupremeFilm Rubber Roller for VoithSpeed Sizer AT), Also Suitable for Other Film Rolling Applications with Difficult Operation
Indorama Ventures acquires Huntsman chemical intermediates and surfactants business
KAIST proposes copper sulfide as electrode material to promote commercialization of sodium ion batteries
Graphene battery technology promotes the development of new energy vehicle market
Japan approves export of some semiconductor materials to South Korea, South Korea responds: will continue to promote the localization of core materials and parts
80K carbon fiber goes on sale next year, Montefibre Carbon launches its first PAN-based carbon fiber precursor
Carbon fiber tool printed with Markforged’s 3D printer saves costs for British carmaker Dunlop Systems and Components
China Metal 3D printed powder material technology work successfully developed ultra high strength 3D printed aluminum alloy powder material, which can replace some titanium alloy aviation parts Hay:H01SZBF
Japanese Yazaki company is developing New material combining aluminum and carbon nanotubes in its California R & D subsidiary YTC America or will replace all copper products
Lightweight composite parts manufacturer and supplier Prodrive expands UK plant productivity
Honeywell partners with Chinese air conditioner component / electronic and mechanical expansion valve manufacturer to promote non-flammable SOLSTICE® N41 to replace R-410A
WABCO, the world’s leading brake control system manufacturer, expands e-commerce channels and builds a new ecology in the aftermarket of commercial vehicles
Arkema announces that its subsidiary Bostik will acquire “high-performance thermal adhesive film company PROCHIMIR”
Nippon Seisen develops ultra-high-strength stainless steel wire for medical devices
Briggs Automotive company: BAC launches graphene-reinforced carbon fiber-intensive supercar
Covestro battery thermal management materials: Makrolon TC thermally conductive polycarbonate, (Bayblend FR3010, FR3040) and mineral filled (Bayblend FR3020) flame retardant products, and glass fiber reinforced (BayBlend FR3060 EV) flame retardant product
BASF and Toray Advanced Composites promote sustainable development of continuous fiber-reinforced thermoplastic prepreg tape
The hypereutectic aluminum silicon alloy supplier Hay:A03ZZQY
Carbon fiber composite material manufacturer WHGW net profit in the first half of the year increased 44.73% year-on-year, carbon fiber sales revenue accounted for 51%
Technavio: The global 21,700 lithium-ion battery market size will increase by $ 2.6 billion in 2019-2023
Auria Solutions, a manufacturer of automotive upholstery, has developed a new layer of fiber acoustics material that can reduce weight and sound insulation
Chinese rail, automotive, aerospace aluminum alloy material manufacturers increase research and development of aluminum alloy materials for ships Hay:A03LNZW
Researchers at Chalmers University of Technology have designed a window film with special molecules that can absorb or release solar energy to keep the temperature inside the car balanced throughout the day
Tungsten oxide nanowires may make up for insufficient high-end power battery capacity
Vishay Intertechnology introduces the company’s smallest automotive-grade IHLP ultra-thin, high-current inductor
SCHOTT Glass will build new crystallized glass crystallizing line and flat glass automated production line in Suzhou, and increase pharmaceutical packaging glass production line
The performance of component suppliers generally fell sharply, layoffs and transformation are inevitable
Asahi Kasei develops polyamide foam for automotive lightweight and noise reduction
Graphene nano silver wire transparent conductive film promotes flexible development of electrode materials
Seize DRAM business opportunities in the 5G era? Micron invests NT $ 400 billion to build 2 wafer fabs
Chinese researchers develop electrospun nanocomposite membrane material for medical anti-infective dressings
Huntsman unveiled ACOUSTIFLEX S NBR polyurethane foam system, RUBIFLEX Cocoon flexible foam system and other products at the Foam Expo Europe
High-end optical film: diffuser film and reflective film manufacturer builds 50 million m2 reflective film production line Hay:F06NBDX
Chinese carbon fiber composites leader collaborates with Voith to develop carbon fiber hydrogen fuel storage tank system Hay:D02JSHR
Ultra-high brightness is achieved with static fluorescent ceramic converters. SCHOTT’s new product line accelerates breakthroughs in new compact laser applications
China Silver Nanowire/Nano-silver transparent conductive film (TCF) manufacturer completes 100 million yuan C round of financing Hay:H02SZNF
Continental develops smart glass control technology to adjust brightness at any time
Continental Group showcases healthy mobility products and technologies for the first time at IAA 2019
Schaeffler launches new solution for gearboxes: new angular contact roller bearings with low friction
Huntsman will highlight four major processes in the field of polyurethane composite materials, as well as energy-saving and efficiency-reinforced polyurethane composite full-bridge system doors and windows at China Composites Expo 2019
Application of Advanced Special Precision Ceramic Materials in Automotive Industry
Precision stamping metal structural parts, ultra-high-strength steel plates, aluminum-magnesium alloy lightweight components, precision mold manufacturers successfully IPO Hay:T01GDXX
Chinese polymer material manufacturer invests 1,000 tons of high temperature resistant colorless polyimide material / CPI (Colorless Polyimide) Films project Hay:C04LNAK
Vacuum bagging materials: Composite Vacuum Bagging Film, Composite Release Film, Composite Metallic Mesh, Unidirectional breathable film manufacturer and supplier Hay:F08SHLG
INEOS Group Holdings completes acquisition of Ashland composites business
Volvo and Noregon Systems launch new dynamic maintenance service to increase truck uptime
The leading tantalum and tantalum alloy seamless tubes supplier Hay:A03ZGYS
Spring glass using German laser source and equipment manufacturer LPKFLIDE (The Laser-Induced Deep Etching) technology, or application on ultra-thin glass substrates next year
Schaeffler introduces motor products for hybrid modules, dedicated hybrid transmission (DHT) and electric drive axle
Materialise pioneers new Ultrasint TPU 3D printing material for HP
Diab New Materials (Diab) of Sweden has recently announced a five-year supply contract for wind turbine blade core materials with global wind turbine OEM Vestas
SKCKolon PI plans to transform some polyimide PI production lines into COF special production lines
Schaeffler’s innovative solutions help the internal combustion engine save energy and reduce emissions, and can achieve a maximum reduction of 15%
Garrett’s electric turbocharger debuts at Frankfurt Motor Show, Germany
The 2000Mpa-grade ultra-high-strength bridge galvanized steel cable made by China’s special steel manufacturer achieved the world’s first successful application Hay:A02ZXTG
Daimler purchases batteries from Chinese power lithium-ion battery maker Farasis Energy
U.S. researchers develop new cathode and electrolyte system or reduce dependence on rare metals
K 2019:Covestro unveils building insulation materials: rigid polyurethane (PU) and polyisocyanurate (PIR) foams with 30% higher insulation
Chinese researchers develop porous carbon nanosheet composites to improve lithium-sulfur battery performance
China’s first 1,000-ton polylactic acid fiber production line was completed Hay:D01AHFY
China`s leading electronic glass:lithium aluminum silicate glass,UTG/ultra-thin glass,curved glass,AG glass manufacturer released a new ultra-thin 0.06mm drop-resistant soda-aluminosilicate glass Hay:B04SCXH
Russian continuous composite fiber 3D printer and additive materials manufacturer Anispoint partners with iMakr, a global distributor of 3D printers and scanners in London, to enter the UK market
British Composites announces shortlist for 2019 Composites Industry Awards
BASF and STR Automotive join forces to use the new material Elastolit® R 8819 for the first time, making it possible to reduce the weight of vehicle components
Schaeffler’s XTRONIC provides digital control unit for autonomous driving
Solvay Udel PSU helps Nordson MEDICAL develop new sterile connectors
BASF to sell its ultrafiltration membrane business to DuPont
ARLANXEO, synthetic rubber solution provider, new trend of “future motorization”
Solvay expands thermoplastic composites capacity at U.S. plant
SK Innovation’s colorless polyimide (CPI) film module will be mass-produced in November
Mitsubishi Chemical: Exploring 5G Uses of Low-Dielectric Engineering Plastic “DURABIO”
According to Plasmart and Beroe Inc., the global PP/Polypropylene market is expected to be approximately $ 115 billion by 2022
MIKROSAM launches the world’s largest fully automatic CHG / CNG cylinder production line with an annual output of over 60,000
Aiming at the “pain points” in the manufacturing industry, the global collaborative robot market has a compound annual growth rate of over 50%
Merck KGaA, Darmstadt, Germany has successfully acquired Intermolecular, Inc. a partner for advanced materials innovation
Proton Exchange Membrane Fuel Cell and Membrane Electrode Assembly Manufacturer Gore Releases New Proton Exchange Membrane, Accelerating Industrialization of Fuel Cell Vehicles
Rheinmetall brings its sub-brand kolben schmid, Pierburg to the Frankfurt Motor Show
Tesla acquires Hibar Systems, a Canadian manufacturer of precision metering pumps, injection systems and battery manufacturing systems
Chinese high-end bearing manufacturer’s bearings are used in high-speed trains in China for the first time
ANDRITZ to supply Saint-Gobain glass fiber felt wet production line
The United States developed the first Lithium-carbon dioxide batteries with an energy density 7 times higher than that of lithium batteries
Crystalline silica powder, Fused silica powder, Soft composite silica powder, Round corner crystalline silica powder, Spherical silica powder, Spherical aluminum oxide powder, Sub-micron spherical silica powder, Acicular powder manufacturer Hay:B04JSLR
3D printed aluminum alloy satellite antenna approved by the European Space Agency
Merck completes acquisition of Versum Materials, an leading electronic materials company
Composite pressure vessel manufacturers AGM and Infinite Composites have jointly developed composite pressure vessels suitable for specific space applications
Dieffenbacher and Arburg cooperate to develop a hybrid structural component transfer molding solution
WABCO, the world’s leading supplier of brake control systems, announces the successful installation of the 1 millionth part of the ProVia product line
LANXESS TEPEX Dynalite series of continuous fiber-reinforced thermoplastic composites for Porsche A-pillar structural reinforcement
Chinese researchers developed high-activity titanium nitride nanorod array composite electrode materials for zinc-bromine flow batteries
Ultra-thin 0.02mm stainless steel foil supplier Hay:A05SXTG
Chinese Micron Grade High Performance F-12 Aramid Fiber Manufacturer Expands Capacity Hay:D01HTLY
AGC car curved display glass production base settled in Suzhou
K 2019 Clariant and BMW show the results of their cooperation in car weight reduction: HYDROCEROL chemical blowing agent can form a porous foam structure inside BMW’s dashboard, which can reduce the weight of the dashboard by 20%
Continental and 3M cooperate with I2V technology to protect the safety of all road users
Multinational Medical Equipment Johnson & Johnson in China
Brose, a manufacturer of door systems, trunk door systems, seat frames and electronic drive systems, plans to lay off approximately 2,000 people in Germany by the end of 2022.
Magical soft rehabilitation mechanical gloves, Soft Robotics using WACKER ACEO® silicone 3D printing
Bridgestone to provide barrier-free public parking system for Tokyo 2020 Olympic and Paralympic villages
Solid-state batteries may be listed as China core technology research project of new energy vehicles
Mexican auto parts supplier Nemak, Q3 revenue down 16% due to GM strike
Evonik’s RESOMER® degradable medical implant-grade 3D printing material
Hyundai Motor will use BASF’s Elastoflex® polyurethane foam system (PU) to produce car seat
Continental to split Vitesco Technologies
Autoliv, the world’s largest car airbag and seat belt maker, lowers 2019 sales forecast
China high-purity quartz sand, quartz tubes (rods), large-diameter quartz diffusion tubes, quartz tubes, and quartz plates leading manufacturer built 6000-ton electronic grade quartz tubes and rods product project Hay:B04JSTPY
Sandvik Additive Manufacturing’s new titanium powder and titanium alloy powder plant opened, 3D printed metal powder layout went further
BASF said: As the US economy also begins to slow, the chemical industry is still in a doldrums
Hitachi Automotive Systems will form a joint venture with Keihin Corp, Showa Corp, and Nissin Kogyo Co., Japan, second only to Denso and Aisin Precision The third largest auto parts supplier.
Car-to-Car communication, Hyundai Mobis develops terminal technology
Toshiba cooperates with Gestamp to detect the welding quality of automotive chassis components using IoT and AI technologies
BorgWarner iDM helps leading China`s new energy vehicle brands
3DCeram launches new ceramic 3D printer, which can realize large-scale production of large-scale advanced ceramic parts
Application of zirconia precision ceramics in 5G communication, 3C digital and electrical fields
Spirit AeroSystem announces the acquisition of some of Bombardier ’s aerospace structural asset businesses: composite and metal wings, nacelles, fuselage and tail components, and high-value mechanical components made of aluminum alloys, titanium alloys
Silicon carbide substrates manufacturer introduces large-size silicon carbide single crystal substrate materials Hay:I04JSCX
Cree and ZF Friedrichshafen AG cooperate in the field of electric drive to expand the application of SiC in the field of electric vehicles
China Thermal Management Material Technology Company successfully produced the world’s widest high thermal conductivity new material: 430mm graphene-like high thermal conductivity Hay:F03AHTH
Freudenberg Sealing Technologies is developing a new generation of conductive sealing materials to make the electrical connection between the housing and shaft of the electric drive system durable and stable
Scott Bader cooperates with Shape to develop curved pultruded carbon fiber bumpers
Trelleborg Launches Frame™ Radar Absorbing Material at WinEurope Offshore 2019
SCHOTT Glass’s new standard electric compressor terminal based on metal sealing technology debuts at CIAAR
Materials such as thermoplastic elastomer SEBS, polyester products PET, PBT and polypropylene PP of the Chinese manufacturer of high-molecular materials for medical consumables have passed the appraisal and 4 patents have been obtained Hay:P10ZGBL
Grammer AG, a world leader in parts and systems for international automotive interiors (including driver and passenger seats) Signed a joint venture and cooperation agreement with FAW Fusheng Group
China’s lithium battery copper foil manufacturing JY TECH net profit growth over 150% in the first three quarters
Global connected LED lighting leading manufacturer Signify selects Covestro polycarbonate for 3D printed LED lamps
Toray developed the world’s first porous carbon fiber with nano-scale continuous pore structure
Cree, a global leader in silicon carbide (SiC) technology, strengthened cooperation with ABB to jointly expand the application of SiC in the high-power semiconductor market
Global leading automotive safety systems manufacturer and supplier:Autoliv launchs the front central airbag to prevent front driver and passenger from colliding in a side collision
Tesla filed a patent application for “fibrous foam architecture”
Teijin signed a patent license with a Chinese lithium battery separator manufacturer to further cooperate in the power lithium ion battery separator business
Sweden DIAB Group, the world’s largest structural engineering sandwich composite manufacturer, has invested in a new (Divinycell) PET foam plant in India to serve the local wind energy market
JTEKT successfully develops “non-circulating ball screw” for electric brakes
Road and bridge engineering mold manufacturer provides thermoplastic long fiber reinforced polymer composite template for the Hong Kong-Zhuhai-Macao Bridge cross-sea project Hay:D02GZLY
The leading Non-ferrous metals precision forging parts supplier of Eaton, John Deere, Caterpillar Hay:T03HNHD
Evonik Industries expands production capacity of US rigid foam ROHACELL® PMI (polymethacrylimide) composite materials to meet aerospace and electronics market demands
New progress in the development of high-performance nanocrystalline tungsten-based alloys! High-strength double-nanostructure tungsten material prepared in China
Toray Advanced Composites will use glass fiber or carbon fiber to reinforce BASF’s Ultramid PA6 engineering thermoplastics to manufacture CFRT tapes
LANXESS adjusts its organometallic business: sells tin-based metal organic compounds business and expands production of aluminum-based metal organic compounds
High temperature alloy and superalloys industry analysis: the future market increase mainly comes from China
French Chomarat develops carbon fiber reinforced materials for Arcona Yachts cruiser
Evonik, the world’s leading supplier of implantable medical device biomaterials, announced a partnership with German medical textile materials leader ITV Denkendorf Produktservice (ITVP) to expand the implantable biomaterials product portfolio
Thermoplastic composite manufacturer BüFA Germany will use Covestro’s Maezio series of unidirectional tapes to produce continuous fiber-reinforced thermoplastic composites (CFRTP)
Aluminum alloy parts precision manufacturer invests in the construction of a new energy vehicle battery shell aluminum extruded profile project Hay:T06NBLH
Extreme Tuners made a batch of 3D printed carbon fiber composite connecting rods for Mitsubishi Lancer Evolution cars, replacing aluminum alloy connecting rods for internal combustion engines
Basanite Industries launches a new generation of basalt fiber reinforced concrete materials
Ultrafine grain high strength titanium alloy 3D printing material
BASF introduced Ultramid® grades PA6, PA66 and various copolyamide (such as PA66 / 6) molding compounds. Series products include PA6 / 10 and semi-aromatic polyamide
The 5G high-frequency high-speed antenna with extremely low profile electrolytic copper foil project developed by China’s high-end electronic copper foil and copper clad laminate manufacturer won the China 5G pilot demonstration project Hay:A03SDJB
Chinese researchers solve the problems of low volume energy density and rapid capacity decay of nano-electrode materials
China Advanced & High Performance Engineering Plastics Materials Industry
China Advanced & High Performance Steel Materials Industry Development
China Advanced Artificial Crystal/Synthetic Gem Materials Industry
Institutional survey of 77 Huawei Suppliers:Huawei’s supplier welcomes the 5G wave
China Precision Aluminum Die Casting Transmission Housing Manufacturers & Suppliers for Electric Vehicles
OSRAM to Acquire 55% stake in Ames To Strengthen The Sensor Business
DRiV Tenneco`sAsia Pacific headquarters opens in Shanghai, plans to continue to increase investment in China
Low-friction piston ring created by Tenneco’s powertrain laser process
Valeo Group: From hydraulic clutches,wiper system,gearboxes to game changer
MAHLE announces: Behr Hella Thermocontrol service acquisition plans proceed as scheduled
Power battery cost dives,Global electric vehicles may usher in a real boom next year
China Leading Manufacturer and Supplier for Carbon fiber and fabric, carbon fiber prepreg, glass fiber prepreg, carbon fiber composite material products Hay:D01WHGW
China Leading Manufacturer & Supplier For Automotive Thermal Management:Automotive Air Conditioning Compressor Hay:Q03NJATJ
Hexpol TPE launches Dryflex Cable, a new polymer compound for cables (including EVA, TPE and TPV)
Solvay supplies Safran`s LEAP engine acoustic panels with Halar® ECTFE high-performance thermoplastic films
China high-performance glass fiber and carbon fiber composite materials manufacturer and supplier build production bases Hay:D02HNKE
BorgWarner launches new series of high-voltage hairpin motors-HVH 146
Supply To Lexus, Denso Automotive Technolog Will Launched L2 ADAS System
Magna acquires Czech automotive lighting company Wipac Czech s.r.o
SK Innovation Will invest 7.382 billion in Yancheng, Jiangsu to build a battery plant to supply Kia Motors
OSRAM Licht AG, Daimler AG, HELLA, Infineon Technologies AG Jointly developed a new LED vehicle headlight system
China Automotive Components and Parts Suppplier and Manufacturer: Sanhua intelligent control subsidiary becomes German BMW supplier
LG Chemical spends nearly $ 26 billion to expand ethylene capacity
Japan’s Toshiba, Tohoku Electric Power and LPG giant Iwatani Industries announce:Build the world’s largest hydrogen plant
Automotive components & parts supplier Continental develops touch sensor system with voice recognition
Denso is transforming at the era of the “new four modernizations” of automobiles
Hankook Tire New Technology R & D Cycle time Reduced by 50%
GM, Isuzu invest USD 175 million to set up an engine parts factory to meet the needs of the heavy-duty pickup market
China’s leading supplier and manufacturer of automotive sealing products:The first phase of Rongnan Technology’s new plant is about to be completed and put into production.
Osram and AMS reach business merger agreement
Continental won two awards in the automotive and parts industry
China Leading Manufacturere and Supplier for ITO conductive film glass information display glass material Hay:B04AHKS
Kaman Composites will provide composite components for Carestream Health’s digital radiography product line
3D printed Cyton Gamma 300 robot arm has been successfully transported to the International Space Station
China Manufacturer and Supplier for Ultra-Fine Alloy Conductor Wires, Laminating Wires, Diamond Cutting Saw and Metal Matrix Composite Lines Hay:A05ZJDN
CAER researchers collaborated with Koppers and Materials Science in the United States to study coal tar pitch-based carbon fiber and its applications in aviation, automobiles, sports equipment, and other high-performance materials.
China Manufacturer and Supplier for Electromagnetic/EMI Shielding Materials, Thermal Materials Hay:F05SZRF
China leading Manufacturer and Supplier of Thermal Interface Management Materials and Electromagnetic Shielding MaterialsHay:F03SZBE
China Manufacturer and Supplier for Absorbing Material,Magnetic Alloy Powder Core Material and Magnetic Powder Cores/Components Hay:F05SZBK
High-precision aluminum alloy plate, aluminum alloy strip, aluminum alloy profile manufacturer’s high-end high-precision aluminum material heat treatment profile roll hearth furnace production line trial production success Hay:A03GXNN
Electron-doped methane may become a new superconductor with low pressure and high critical temperature
China Leading Manufacturer and Supplier for precision powder metallurgy machinery structural/automotive parts and soft magnetic materials Hay:Q02NBDM
Nippon Light Metal North America Inc. and ITOCHU Metals Corporation jointly build an automotive aluminum forging plant in the United States to produce high-performance aluminum forged wheels
Toray develops a new type of high temperature resistant polyphenylene sulfide/PPS film for 5G antenna, which can withstand 250 ℃ high temperature
Toray develops high temperature resistant polyphenylene sulfide (PPS) film, or will replace LCP for 5G flexible circuits
University of Wollongong develops new nanomaterials to allow sodium-sulfur batteries to be used in large-scale energy storage systems
The position of silicon carbide in the semiconductor materials industry
Advanced ceramic 3D printing technology:Fused deposition of ceramics,stereo lithography apparatus, selective laser sintering…
China leading manufacturer and supplier for High Precision Copper Alloy Plate/Strip,Copper Alloy Wire Hay:A03AHCJ
Several latest applications of carbon fiber composite materials in 2019
China Leading Manufactuer and Supplier for Bimetal self-lubricating bearings, metal plastic self-lubricating bearings, metal-based self-lubricating bearings, plastic self-lubricating bearings Hay:U03ZJCS
China aviation grade tablet vanadium, high-end vanadium titanium new material manufacturer Hay:A01HGZC
Chinese high-end welding material manufacturer for Carbon steel electrode, low alloy steel electrode, stainless steel electrode, surfacing electrode, cast iron electrode, nickel and nickel alloy electrode, copper and copper alloy electrode Hay:F01SCDX
China ultra-think titanium alloy foil project started
Chinese intelligent robot company uses carbon fiber reinforced composite materials to build China’s first flexible OLED robot successfully applied
Swiss exaddon electrochemical deposition technology achieves μAM ultra-high resolution, can realize nano metal 3D printing ultra-micro parts
Huntsman completes the sale of chemical intermediates and surfactants to Indorama Ventures
Battelle researches the production of polyurethane foam from coal
China will become the world’s largest carbon fiber market, capital accelerates the deployment of carbon fiber industry chain
Bosch and ON Semiconductor invest in Chinese mechanical LiDAR technology company Hay:I06SHHS
China’s nano silica aerogel, aerogel felt, aerogel powder realize large-scale industrial production Hay:F03SXYQ
China’s new breakthrough in the field of high-nickel lithium battery layered cathode materials
Continuous fiber additive manufacturing technology may subvert the production model of aviation composite structure
Swiss Von Roll company launched low-density honeycomb core filler, which can significantly improve the local rigidity and strength of the honeycomb core in the composite sandwich structure
Toray Japan launches a new generation of Torayca® prepreg ET40
Lithium nickel manganese oxide (LNMO), electrolytic manganese dioxide cathode material has potential advantages
Kyocera launches Enerezza, the world’s first semi-solid lithium-ion battery
The development direction of carbon fiber composite materials in the field of military ships
ONEJOON Co. Ltd, a manufacturer of lithium ion battery cathode and anode materials, acquires carbon fiber furnace manufacturer Eisenmann Thermal Solutions GmbH & Co. KG
The large-size complex ceramic matrix composite heat-proof component won the award Hay:D05XAXY
Hexcel,the world’s leading manufacturer of carbon fiber-reinforced fabrics / prepregs, honeycomb cores, resins, carbon fiber-reinforced composite components merged with Woodward
China Leading Manufacturer of Titanium sheet, titanium tube, nickel and nickel alloy sheet, nickel and nickel alloy tube, titanium steel composite sheet, nickel steel composite sheet and zirconium material Hay:A04BJBY
Application of high-performance composite materials in industrial machinery industry
SAMYANG Optical launched the world’s first SAMYANG XEEN CF carbon fiber carbon fiber film lens
China Manufacturer of Ultra-high-voltage, ACCC carbon fiber composite core wires, high-strength aluminum alloy conductors, heat-resistant aluminum alloy conductors, and aluminum-clad steel core aluminum conductors Hay:F04JSYD
China Leading Manufacturer and Supplier of Intelligent solution for lithium battery whole line: lithium battery winding machine
China Leading Permanent magnet synchronous gearless elevator traction machine Manufacturer and Supplier Hay:U01ZJXZ
China Leading High-performance bead wire, steel cord and hose wire for radial tires manufacturer and supplier Hay:A05SDDY
China Leading Gearbox and transmission system gear steel of automobile and motorcycle manufacturer and supplier Hay:A02JYXC
China Leading manufacturer and supplier of Capacitor grade tantalum powder, tantalum wire, rare metals tantalum, niobium, titanium and their alloys Hay:A01NXDF
China Special Steel Group BAOWU and JFE Steel Corporation form a joint venture
China Leading Extra Large Liquid Ring Vacuum Pumps, Water Ring Vacuum Pumps and Compressors manufacturer and supplier Hay:U04ZBSH
China Leading Manufacturer and Supplier of Special high temperature resistant copper based electromagnetic wire, enameled aluminum wire, enameled copper wire, oxygen-free copper rod, tinned copper stranded wire Hay:F05TLJD
China Leading Carbide micro drills, milling cutters, special precision tools for printed circuit boards manufacturer and supplier Hay:T10SZJZ
China ITO film, dimming film, optical film material, smart dimming glass manufacturer and supplier Hay:F06ZHXY
Functional mechanism and functional efficacy of polymer-based nano-gold composites in skin care
China leading manufacturer and supplier of Permanent magnet ferrite motor tile magnet, speaker ring magnet, rare earth permanent magnet Sintered NdFeB permanent magnet FB6, FB9, FB12 ferrite material Hay:F05HNHT
China Leading manufacturer and supplier for Aluminum alloy hot extrusion products:super hard aluminum alloy, aluminum alloy seamless tubes,radiators Hay:T06KSJAT
Allied Mineral Products, Inc., an environmentally friendly refractory manufacturer, invests in the construction of manufacturing facilities for unshaped refractory and refractory preforms
Application of high-performance carbon fiber composite materials in sports and leisure products
Machine learning assists the synthesis of metal-organic nanocapsules
EU MAT4RAIL project team develops new composite materials for future rail transit
High pure Scandium supplier Hay:A01HNLM
China leading low polymerizing /high dispersion silica/white carbon black manufacturer and supplier Hay:B04JSQC
BorgWarner acquires Delphi Technology for 22.9 billion yuan!
China leading manufacturer and supplier of Biomass graphene,Furan resin and phenolic resin,Super capacitor
China leading refractory materials for glass kiln manufacturer and supplier Hay:B03ZBGT
China leading manufacturer and supplier of High-purity copper, oxygen-free copper and high-strength, high-conductivity copper alloy materials, electrode alloy materials, chromium-zirconium copper rods, aluminum bronze copper rods Hay:A03YTWL
China Leading Fine ceramic silicon carbide fine powder manufacturer and supplier Hay:B01HALT
China leading manufacturer of electromagnetic shielding materials, radar stealth materials, absorbing materials, film functional materials, PCB materials, low-order electrical resins, thermal conductive materials, functional fiber materials Hay:F05SDTN
China Leading High temperature insulation composite material, high temperature insulation composite sleeve, aluminum foil composite type high temperature insulation sleeve Hay:F03HNXX
China leading Titanium dioxide ( TiO2) for high-end green coatings manufacturer and supplier Hay:B08AHAN
Evonik successfully completed the acquisition of PeroxyChem, a manufacturer of hydrogen peroxide (H2O2) and peroxyacetic acid (PAA)
Copper-titanium alloy, a new alloy with high strength and optimal solidification performance, which can achieve 3D printing high-performance parts
Solvay and SGL Carbon collaborate to develop new composite materials for aerospace
China leading ultra-high molecular weight polyethylene UHMWPE fibers and its high-performance bulletproof composite materials manufacturer and supplier Hay:D02NBDC
organic bentonite,Aluminum Magnesium Silicate and Activated white clay manufacturer and supplier Hay:B06ZJHY
China leading High temperature resistant, flame retardant, insulated aramid fiber, new material for aramid paper manufacturer and supplier Hay:D01SZCM
Chinese, English, American, German, Japanese, Korean, European Union graphene materials R & D status overview
Leading Manufacturer of bisphenol A type liquid epoxy resin for aerospace composite materials and new energy automobile composite materials Hay:D11SDTM
China leading electroplated diamond wire for Superhard materials such as polycrystalline silicon, single crystal silicon, sapphire, and magnetic materials cutting industry manufacturer and supplier Hay:B06CSDL
China Liquid crystal display material,OLED Display material,Pharmaceutical intermediates manufacturer and supplier
China High-performance fiber warp knit reinforced composites: glass fiber fabric, carbon fiber fabric, aramid fiber fabric manufacturer and supplier Hay:D02CZHF
China leading High-purity Tuo copper rod, high-precision copper strip, copper alloy rod and silver-copper alloy, copper copper solder, tin brass solder, silicon bronze solder, iron brass solder manufacturer and supplier Hay:A03JXGX
China leading BOPP film, wear-resistant dumb film, new touch film, pre-coated film, PET film, aluminized film, nylon film manufacturer and supplier Hay:C04SZSS
Chinese researchers have developed super-elastic inorganic nanofiber aerogels, which can withstand millions of compressions without fear of high temperature and liquid nitrogen
Welding-free screw and compression anchor (riveting) bolt system for Building Structures Hay:U07ZJST
Corrosion Resistant Heat Exchange Pipe, Precision Mechanical Pipe, Special-shaped Coils,Industrial welded steel pipes and high-efficiency heat transfer pipes Hay:A05HNGL
Ultra White Low-e Glass,low emission reflective glass manufacturer and supplier Hay:B04ZJDS
Thermal insulation decorative board, Exterior wall insulation system, Architectural Coatings materials manufacturer and supplier Hay:K06BJFS
High purity alumina, calcined alumina, activated alumina, special pseudo-boehmite, low sodium alumina monohydrate manufacturer Hay:B01SDSL
High purity co-precipitated rare earth oxide,Rare earth alloy,SmCo Magnetic powder, Soft magnetic alloys, NdFeB magnetic material Hay:A06JXQD
Celanese will acquire Noureon’s Elotex® redispersible polymer powder business
With continental outsole soles, midsole LIGHTSTRIKE material, and uppers made of black technology such as the new single-layer synthetic material CELERMESH, adidas released the first pair of full palm carbon running shoes! Benchmarking Next%
China high nickel and single crystal ternary cathode material manufacturer: High nickel ternary cathode materials have advantages in energy density and material cost, and ternary high nickelization has become a deterministic trend Hay:N01NBRB
Ultrathin stainless steel foil applied in folding screen mobile phones Hay:A05SXTG
High-performance titanium alloy, high temperature alloy and other materials powder molding aircraft landing gear connectors, hot isostatic pressing HIP process technology Hay:T05XAJY
Basalt fiber chopped yarn has the characteristics of improving the high temperature stability, low temperature freeze-thaw splitting and fatigue resistance of asphalt concrete, which is helpful for application and asphalt pavement laying.
Equiaxed, oriented, single-crystal superalloy turbine blades and hot end components for aero-engines and gas turbines manufacturer and supplier Hay:T02JSYH
Copper clad aluminum)/Bimetal composites maker offers new
Refractories for Converter:Outer Nozzles,Sliding plates,Inner Nozzle, Alumina-magnesia Based Ramming Mixes, Gunning Mixes, Slag Limiting Dart, Slag Limiting Ball, Tap-Hole Blocks, MgO-C Bricks, Purging Plug leading manufacturer and supplier Hay: F03HNPN
High-temperature alloy materials,Superalloy,Fe-based amorphous alloy ribbons manufacturer and supplier Hay:A04GYKJ
APEX new pure electric sports car with carbon fiber cockpit
The Leading Precision Machined Titanium Alloy Components and Parts Supplier Hay:A04BJTY
Leading NdFeB rare earth permanent magnet material,Soft ferrite material and magnetic core, nickel-zinc soft magnetic core, sintered permanent ferrite manufacturer and supplier Hay:F05GDLY
Titanium alloy rod and wire, NbTi, Nb3Sn superconducting wire and high temperature alloy/Superalloy rod manufacturer and supplier Hay:A04XAXB
APS Holdings will invest in Fine Metal Mask (FMM)
Manufacturers of ultra-high-brightness LED epitaxial wafers, chips, and III-V compound semiconductor materials have invested heavily in gallium nitride GaN, gallium arsenide GaAsLED substrates, epitaxy, and chip projects Hay:I01XMSA
Silver nanowire, silver nanowire transparent conductive film manufacturer to build nano silver wire dimming film project Hay:H02ZJGS
Leading electronic connectors, wiring harnesses and precision components for electronic products, automotive Hay:F04SZDR
Chinese high-purity hydrofluoric acid manufacturer supplies stable supply to high-end semiconductor companies in South Korea, opening the door for hydrofluoric acid products to the world
Key components of liquid crystal display (LCD) panel: reflective film, polarizer, light guide plate, diffusion film, brightness enhancement film manufacturer and supplier Hay:I01HFHB
Leading nanometer thickness photovoltaic, piezoelectric single crystal thin film materials, 300-900 nm thickness lithium niobate/tantalate single crystal thin film materials manufacturer and supplier Hay:F06JNJZ
Leading 8-inch silicon-based GaN epitaxy and chip, 30V-650V GaN power and 5G RF device manufacturer and supplier Hay:I04ZHYN
Toray develops carbon nanotube composite materials to assist UHF communication technology
DOMO Chemicals builds engineering plastic nylon PA6, nylon PA6.6 and high temperature nylon (HTN) projects in China
Silicon carbide crystals and substrates, high-purity silicon carbide fine powder, gallium oxide crystal ultra-wide band gap semiconductor materials manufacturer and supplier Hay:I04ZKGY
Key Materials of LEAP Engine: ceramic matrix composite materials: silicon carbide fiber reinforced silicon carbide ceramic matrix (SiC / SiC) composite materials and carbon fiber reinforced silicon carbide ceramic matrix (C / SiC) composite materials
Leading polymethacrylimide (PMI) foam material sheet, high-performance heat-resistant lightweight foam material manufacturer and supplier Hay:C03HNZH
Technical Fibre Products, a British advanced nonwoven fabric manufacturer, launches new high-temperature resistant polyamide / basalt fiber yarn
The world’s leading manufacturer of wind blades and wind power equipment manufacturer Vestas`s Supplier: TPI Composites Inc
China leading semiconductor lead frames, bond golden wires and electrode wires manufacturer Hay:I04NBKQ
Chinese researchers made breakthroughs in the field of 3D printing single crystal superalloys
China’s precision magnesium alloy die castings, magnesium alloy precision component manufacturers invest xi to build magnesium alloy die casting production line Hay:A03SXHT
Covestro provides lightweight polyurethane composite materials for the new concept car Toyota LQ
BASF expands distribution channels for stainless steel 3D printing consumables and signs a distribution agreement with consumable distributor M. Holland to sell BASF Ultrafuse 316L stainless steel filament consumables
Super-sized, high-strength, high-precision forgings: super-sized engine high-temperature alloy turbine discs, titanium alloy frame beams, ultra-high-strength steel landing gear manufacturer and supplier Hay:T03XASJ
China Precision stamping parts manufacturer’s products are recognized by customers such as Panasonic and Bosch Hay: T01NBXR
Rare Earth Magnesium alloy materials and precision products manufacturer and supplier Hay:A03XMKJ
Leading crystalline silicon powder, fused silicon powder and spherical silicon powder manufacturer and supplier of Sumitomo Electric, Hitachi ChemicalHay:B04JSLR
OceanGate and NASA jointly develop a carbon fiber high-pressure shell capable of withstanding deep sea high-pressure environment and cosmic vacuum environment
Bonded and sintered NdFeB permanent magnet manufacturer and rare earth material manufacturer joint venture to jointly invest in high-end rare earth magnetic material projects Hay:F05BJZK
Leading synthetic fluorophlogopite mica flake,synthetic fluorophlogopite mica powder,synthetic and natural mica powder manufacturer and supplier Hay:B06JYJT
Chinese manufacturers of oxygen-free copper rods, oxygen-free photovoltaic copper rods, alloy copper rods, high-precision electrical round copper wires, and tinned soft round copper wires won the “2019 Automotive Material Innovation Award” Hay:A03JSXH
Application of carbon nanotube composite materials in UHF communication
Australian Titomic partners with global defense manufacturer Composite Technology to provide two Titomic KineticFusion (“TKF”) cold-jet technologies to 3D print industrial-grade titanium parts
Aviation-grade high-temperature titanium alloy, super alloy material, copper-nickel alloy, corrosion-resistant nickel-based alloy, high-temperature alloy material manufacturer and supplier Hay:A04JSLD
China automotive aluminum alloy precision casting parts and components manufacturer Construction of automotive lightweight aluminum alloy precision die casting project and technology industry park project Hay:T02NBAD
Child seat headrest made of continuous fiber reinforced thermoplastic composite material using particle foam composite injection molding (PCIM) process
Manufacturers of self-lubricating bearings and inlaid solid lubricating bearings: silicon nitride and nano-material bearings will become the focus of later research and development
Manufacturers of non-magnetic nickel-chromium-titanium steel and aircraft carrier plywood steel successfully developed 316H austenitic stainless steel for nuclear power equipment Hay:A02AGJT
Titanium alloy aviation forging, high temperature alloy, stainless steel, structural steel, aluminum alloy, magnesium alloy, copper alloy precision forging,Landing Gear Forgings manufacturer and supplier Hay:T03SXHY
Leading Geosynthetics,plastic uniaxial geogrid, biaxial geogrid, fiberglass geogrid, polyester geogrid, 3D geomat, geonet, geocell, geotextile, geomembrane, BOP stretched net, safety fence manufacturer and supplier Hay:D02SDLD
Leading Aluminum and magnesium special lightweight alloy materials: aluminum, magnesium and its alloy plates, strips, foils, tubes, rods, shapes, wires, powders, forgings and deep-processed products manufacturer and supplier Hay:A03DBQH
Development trend of marine carbon fiber composite materials
High precision magnesium aluminum alloy extrusion profiles for high-speed train, magnesium alloy parts for electric vehicles, and bicycles, Mountain bikes, folding bike frames manufacturer and supplier Hay:T06HNJM
Leading High-performance carbon fiber composite materials and products manufacturer and supplier Hay:D02JSZF
Precision miniature electronic connectors and interconnection systems: miniature RF connectors, precision micro-pitch connectors, precision metal shields, precision metal shrapnels manufacturer and supplier Hay:T01SZDL
Leading rare Earth Nano Insulation Coating/Film,Rare earth metal/Rare earth magnesium alloy, alloy and target wire powder,Sintered NdFeB magnet manufacturer and supplier Hay:F03TJBG
Vestas has recently received the first V155-3.3 MW order from a Chinese customer. It will provide 61 V155-3.3 MW units, towers, and VestasOnline Buiness SCADA system.
Faurecia, the world’s leading auto parts supplier, announces large orders for Hyundai’s hydrogen storage system
China’s new nanofiber technology KN95 mask developed successfully, can effectively resist Coronavirus disease (COVID-19)
Polyplastics Group launched an upgraded version of PBT grade DURANEX®PBT CG7030, which can provide better tracking resistance for high-voltage automotive parts
High-purity quartz has excellent performance and is a key raw material for electronics and aerospace. The manufacturing method is continuously upgraded.
High-purity and ultra-high-purity rare earth materials, high-purity semiconductor materials, new rare-earth alloy materials manufacturer and supplier Hay: A06ZGXT
Graphene conductive plastic composite, antistatic plastic, high-performance modified engineering plastic, electromagnetic shielding plastic, and phenol-free phosphite manufacturer and supplier Hay:F04WXJW
Titanium alloy aviation parts, NiTi shape memory alloy powder, 3D Printing superalloy powder, cobalt-based alloy, stainless steel powder manufacturer and supplier Hay:H01JSHF
Refractory rare metals tantalum, niobium, zirconium, hafnium, tungsten, molybdenum, vanadium, titanium, hafnium, platinum, ultra-high purity niobium oxide, tantalum oxide, zirconia, hafnium oxide manufacturer and supplier Hay:A06JSHX
The first international standard of “carbon nanotube slurry” formulated by Chinese graphene manufacturer is officially released Hay:H02JSTN
Carbon fiber reinforced magnesium-based composites
Nano-silica aerogel, aerogel insulation felt, aerogel insulation powder, Aerogel ultra-thin insulation film manufacturer and supplier Hay:F03SZZN
Sintered NdFeB permanent magnet material, permanent magnet ferrite motor tile magnetism, speaker ring magnetism, rare earth permanent magnet material, samarium cobalt magnet manufacturer supplierHay:F05HTKG
Facing Novel coronavirus,GZ petrochemical company successfully developed polypropylene meltblown non-woven material for masks
China leading precision ultra-thin aluminum foil supplier for Kodak in lithographic sheets industry,Tetra Pak’s global supplier of precision aluminum foil Hay:A03XMXS
Why higher melting metal tungsten is not the main material for aero engines
Carbon Fiber Composite Solutions for Automotive
Leading nickel-based, cobalt-based, iron-based high-temperature alloy materials, aluminum (magnesium, titanium) light alloy materials and products, and high homogeneous ultra-pure alloys manufacturer and supplier Hay:A04BJGY
NdFeB rare earth permanent magnet materials, rare earth oxides, giant magnetostrictive alloys, optical magnetic recording materials and Rare earth luminescent materials, phosphors manufacturer and supplier Hay:F05XMWY
SABIC launches new LNP ELCRES CRX polycarbonate (PC) copolymer series to be more resistant to chemical corrosion in medical applications
Chinese information security and electronic functional materials maker to commercialize production of electromagnetic shielding films for flexible circuit boards (FPCs) Hay:F05BDLK
High-purity thin film materials: sputtering targets, PVD coating material, evaporation materials and coatings manufacturer A01FJAS
Carbon fiber composites’ absorbing properties on stealth aircraft
Anode materials for Ni-MH batteries and Ni-MH energy storage equipments: hydrogen storage alloy powder, rare earth hydrogen storage alloy materials manufacturer and supplier Hay:N01BTSD
Chinese leading liquid/amorphous metal precision parts manufacturer supplies liquid/amorphous metal hinge products to Tesla Hay:H06DGYA
Leading RTP composite pressure pipe, glass fiber reinforced thermoplastic composite pipe manufacturer and supplier Hay:D02AHJL
Carbon fiber reinforced silicon carbide composite brake discs for high-speed trains Hay:D05HNSX
Polycrystalline Diamond (PCD),composite superhard materials, PCBN Cutting Tool Blanks, Superhard Tools, Super hard Abrasives manufacturer and supplier Hay:B06HNSF
Automotive parts supplier Magneti Marelli reaches strategic partnership with Transphorm, a leader in GaN technology
4M Carbon Fiber announces a 15% increase in the strength of the carbon fiber it produces, while using its atmospheric plasma oxidation technology to triple its output.
China leading decoration stainless steel sheet with high quality surface of Hairline, 8K, PVD Colored, Etching, Embossing and Roller Color Printing with special stainless steel surface treatment,Hay:A02FSML
China Top Aluminum Conductor Composite Core (ACCC) Cables, Carbon Fiber, FRP Pipes and Tanks, High-pressure Gas bottles manufacturer and supplier Hay:D02ZGFC
China’s turbocharger precision parts, impellers, shells, engine block and other key auto parts manufacturers have delivered Garrett hydrogen core components Hay:Q01WXBS
Japan Kanazawa Institute of Technology has developed a new type of carbon fiber composite material with high strength, high elasticity and excellent conductivity
Chinese researchers have developed a new generation of inorganic superhydrophobic materials, which are expected to be used in aerospace, marine equipment and other fields
Application of carbon fiber composite materials in the field of wind power blades
Aerospace ultra-high temperature special cable and anti-electromagnetic pulse cable manufacturer’s ultra-high temperature cable helps China’s “Long March” series rockets take off Hay:F04HNHL
STMicroelectronics acquires majority stake in French GaN innovation company Exagan
China leading liquid metal/Amorphous zirconium-based alloy material,biodegradable medical magnesium alloy, precision magnesium aluminum alloy die-cast automotive parts manufacturer and supplier Hay:T02DGYA
Leading spandex yarn, spandex fabric manufacturer and supplier Hay:D01ZJHF
Chinese anti-corrosion coating manufacturer provides high-performance epoxy anti-corrosion coating system for Hong Kong-Zhuhai-Macao Cross-sea Bridge Hay:M02ZKJS
China’s aluminum-based amorphous alloy materials in the field of environmental energy application research has made a series of progress
China leading engine crankshafts, automotive clutches, spiral bevel gears, precision forgings and high-strength bolts manufactuer and supplier invested 1 billion yuan to build a large-scale crankshaft precision forging production line projects Hay:T03GLFD
China leading aero-engine component,Aviation Engine Compressor Blade,Integral Blade Disc,Medical Joint Implant Precision Forgings manufacturer and supplier Hay:T03WXHY
Nickel-based and cobalt-based superalloy precision hot end parts, superalloy precision casting parts, air-cooled turbine blades, guide vanes, cast-coil rotor guides and combustion chamber pre-diffusers manufacturer and supplier Hay:T02JSYH
Super austenitic stainless steel, duplex stainless steel, martensitic stainless steel, high temperature alloy precision parts products manufacturer and supplier Hay:T02AHYL
Aircraft structural weight reduction material technology: carbon fiber composite laminates, precision sheet metal stampings manufacturer and supplier Hay:D02SCMR
China leading high-generation, super-large size, high-precision photomask manufacturer and supplier Hay I03SZLW:
Alumina Silica products, Al-Mg-Carbon brick, Con-casting refractory products, Super chamotte raw material and Synthesized Mullite material, Magnesite raw material and products, Monolithic refractories manufacturer and supplier Hay:B03SDNH
China leading Aramid Filament,Aramid yarn,Aramid short fibre,Aramid fabric,Aramid coloured yarn,Aramid colored short fibers manufacturer and supplier Hay:D01SZZD
The European Commission once again funded the European graphene flagship program 150 million euros
DSM, SABIC, and UPM Biofuels work together to create a high-performance bio-based fiber material Dyneema®
Micro-prism type reflective film is superior to glass micro-bead type reflective film, and has more advantages in the field of road traffic signs
Leading carbon fiber bicycle frames and components, carbon fiber bicycles and carbon fiber products manufacturer and supplier Hay:D02JSSY
The University of Bayreuth has developed polyacrylonitrile polymer fibers that are both strong and light like feathers, which can be used in automotive engineering and other industries
Another ultra-low thermal conductivity vacuum insulation panel manufacturer successfully IPO Hay:F03FJST
Leading magnesium alloys, aluminum-titanium alloys, aluminum-titanium-boron, and aluminum-strontium alloys manufacturer newly built 10 million magnesium alloy steering wheel structures project Hay:A03NJYH
Sartomer, a subsidiary of French advanced materials company Arkema, has collaborated with American chemical company Sirrus to develop a new type of fast-curing resin for 3D printing
China Top High performance Etched & Formed Electrode Foil manufacturer and supplier for aluminum electrolytic capacitors Hay:A03NTHX
Chinese high-performance engineering plastics manufacturer invests 1 million tons of nylon 66/Polyamide project Hay:C02ZHJT
International/global low temperature superconducting wire, NbTi ingot, superconducting magnet leading manufacturer and supplier
Leading EMI shielding and TIM thermal management interface materials manufacturer and supplier Hay:F03SZBC
Continental Tire to deploy ContiSeal self-repair technology tire production line in China
Nature: 1 nanometer chip will be possible, TSMC develops the world’s thinnest two-dimensional semiconductor material
Mine wear-resistant forged steel ball, steel grinding rod, wear-resistant steel liner manufacturer new wear-resistant forged rolled steel ball project Hay:A02SDHM
Laser metal additive (high temperature alloy, especially aluminum alloy, titanium alloy, copper alloy laser welding) manufacturing equipment (3D printing, surface stimulation technology), 3D printing metal powder materials manufacturer Hay:H01NJZK
Ultra-precision optical components:Fresnel lens,Ultra Thin glass/plastic Camera Lens Sets,spherical lenses leading manufacturer and supplier Hay:F06ZJSY
Low-temperature superconducting cable, third-generation AP1000 nuclear power station cable, control and instrument cables, high frequency data and network cables, signal cables, magnet wires, overhead lines manufacturer and supplier Hay:F04YZBS
China breaks through T1100 high-performance carbon fiber technology, and industrial production is in progress
What should be paid attention to in injection molding long fiber reinforced plastic LFRT?
Sapphire and silicon carbide supplier GTAT signs an agreement with ON Semiconductor to supply CrystX ™ silicon carbide (SiC) materials
The Covid-19 Coronavirus: Should you Wear a Face Mask
TSMC has achieved an important breakthrough in the development of monoatomic layer boron nitride crystal materials and is expected to solve the physical limitations of traditional semiconductors
Carbon fiber reinforced ceramic matrix composites, carbon fiber reinforced carbon matrix composites, aerogel composite production lines, deep sea buoyancy materials manufacturer and supplier Hay:D02HNFR
University of California uses carbon nanotube net to make new battery separators to prevent overheating and burning of batteries
Mearthane Products Corporation: MPC announces that it has completed the acquisition of reaction injection molding (RIM) and custom parts precision machining service provider: Polyurethane Molding Industries Co., Ltd. (PMI)
GKN’s metal 3D printing material 20MnCr5: 3D printed alloy steel material suitable for electric drive powertrain components
Chinese special steel, vanadium iron, vanadium-nitrogen alloy manufacturer successfully developed zinc-aluminum-magnesium alloy coated steel plate Hay:A02SCPG
South Korean researchers develop high-capacity silicon anode materials. Compared with graphite anode materials, lithium battery capacity can be increased by 4 times
TPE non-porous waterproof breathable membrane,BOPA Film,BOPP Drinking Straw Package,BOPP Cigarette Package,Laminated AL Film, BOPP Capacitor Film, Polarizer Film manufacturer and supplier Hay:F08FSFS
Toray provides carbon fiber prepreg for OceanGate deep sea submarine
Chinese bottle grade PET material manufacturer`s food grade PET polymer new material project put into production Hay:C02ZJWK
China nylon modified materials,Compound PA6, PA66, PP, PC, ABS, PBT, TPE for High-speed rail and automotive engine manufacturer and supplier Hay: C03NJJL
DSM releases Skins® Sandy tactile coating resin
Chinese silicon carbide semiconductor material manufacturer establishes silicon carbide crystal material, silicon carbide fine powder, silicon carbide electronic power chip R & D and production base in Shanghai Hay:I04ZKGY
China leading conductive materials, optical materials, ITO conductive films, optical hardening films, optical protective films manufacturer invests 453 million yuan to expand the production of ITO conductive films Hay:F04JSRJ
Chinese researchers develop flexible porous nano-carbon fiber nonwoven fabric
China nickel alloy and stainless steel wire rods and bars leading manufacturer and supplier Hay:A02YXTG
Technical Problems of Sintering Silicon Carbide Ceramics
China’s mass production of KN95 masks and medical masks of graphene polypropylene meltblown cloth material
China’s another manufacturer of KN95 masks and medical masks special materials: polypropylene meltblown nonwoven fabric daily output exceeded 100 tons
Chinese researchers have made progress in layered thermoelectric materials such as bismuth telluride, layered Mg-based Zintl phase thermoelectric materials, and SmMg2Bi2 high-entropy thermoelectric materials
China precision forged components and parts:steering knuckles and steering arms leading manufacturer and supplier for heavy trucks Hay:T03HBSH
High performance glass fiber and carbon fiber composite components and parts manufacturer and supplier for New energy automobile parts, wind power parts, vehicle lightweight parts Hay:D02SDSY
PPG ’s windshield, as a key component of the NTT INDYCAR® SERIES ’series Aeroscreen assembly, provides protection for the cockpit
Coronavirus (COVID-19) Pandemic: China KN95 Mask and medical mask supply and demand market insight
High Performance NdFeB Permanent Magnets leading manufacturer and supplier Hay:A06YTSG
BOPET reflective functional film, backplane base films, optical base films and other special functional films leading manufacturer and supplier Hay:C04NBCY
Titanium alloy U-shaped wire for glasses frame supplier Hay:A02GDYT
Chinese researchers successfully synthesized undoped and manganese-doped sodium-based unleaded double perovskite nanocrystals with high crystallinity and uniform morphology
Global carbon fiber composites market size reaches 35.75 billion USD in 2025
Precision sheet metal parts,Elevator system products,Precise metal plate system and Electrical assembly products manufacturer and supplier Hay:T01SZSJ
Fluorinated film and backsheet, modified fluoropolymer/PTFE ultrafine powder, PTFE seals, cables, Isostatic PTFE liner tube,PTFE lined pipes and fittings manufacturer and supplier Hay:C06ZJGR
Titanium and titanium alloys, magnesium and magnesium alloy powders, high-temperature nickel alloy powder manufacturers use NPA technology to produce high melting point and high activity 3D printed metal powders Hay:H01CSWT
Precision stainless steel fine wire,Stainless steel profile, stainless steel welding material, stainless steel bar manufacturer and supplier A02JSKR
Basanite Industries begins production of basalt fiber-reinforced polymer bars BasaFlex ™
Hygiene Materials key parts and components of ultra-high clean equipment: pipes and pipe fittings, sanitary valves, sanitary pumps, semiconductor vacuum chambers, vacuum gate valves (GNB, USA) manufacturer and supplier Hay:U07KSXL
Precision magnesium / aluminum alloy die casting parts and components/products manufacturer and supplier Hay:T02QHYH
Environmentally friendly low-pressure injection molding materials (bioplastics), low-pressure injection molding polyamide materials leading manufacturer and supplier Hay:C03SZKE
TN, STN, IM, TP, OLED, electric heating, anti-electromagnetic shielding ITO transparent conductive glass manufacturer built new ITO conductive film project F04AHGL
The melt-blown non-woven fabric for medical masks will begin formal production Hay: D01XMYJ
PTFE PTFE functional film, PTFE fiber, PTFE engineering plastics manufacturer successfully developed PTFE nano mask film, which Can completely replace Polypropylene Meltblown Nonwoven Fabric Hay:C02SDSR
Chinese manufacturer successfully developed key materials and components for hydrogen fuel cells: metal-based gas diffusion layer, Bipolar plates (BPP) are a key component of Proton Exchange Membrane (PEM) fuel cells, 45KW fuel cell stack Hay: N01BJAT
Precision copper alloy electrical contact wire, graphene copper alloy material, copper alloy copper contact wire, bus bar, flat wire, silver copper ladder manufacturer and supplier Hay:F04JYDG
Intensive production of graphite anodes, silicon carbon helps break through 300Wh / kg specific energy
China large-scale forged discs, rings, cylinder and special-shaped forgings parts and components leading manufacturer and supplier successfully produce large-scale motor yoke forgings parts and components Hay:T03SDYL
Chinese power lithium battery precision structural parts, precision casting structural parts and components leading manufacturer occupys more than 50% of China’s power lithium battery precision structural parts market Hay:T02SZKD
China leading precision copper alloy strip manufacturer invests in production bases for high-end alloy copper wire, high-end bare copper wire, key alloy wire, ultra-fine copper wire, and high-end enameled wire Hay:A03SCHX
Liquid metal / amorphous alloy/ metallic glass leading manufacturer,supplier and technological development status
Micro-prism-type reflective film, glass micro-bead reflective material, reflective cloth, reflective leather, reflective thermal film, and special reflective tape leaing manufacturer and supplier Hay:F06ZJDM
China rare earth metals and alloys:metals and alloys for rare earth high-performance permanent magnet materials; rare earth metals and alloys for hydrogen storage batteries; metals and alloys and aluminum metallurgy manufacturer Hay:A06BTSL
High-purity germanium dioxide, organic germanium, germanium single crystal and wafers, optical fiber germanium tetrachloride, high-purity gallium arsenide single crystal and wafer, indium phosphide single crystal and wafer leading manufacturer Hay:A06YNZY
The application of glass fiber, high modulus carbon fiber, aramid fiber and other high-performance fibers and composite materials in the field of marine and ship engineering
Ultra-high-speed 3D printing Nexa3D joins Henkel Material Platform and launches co-branded 3D printing resin materials
China electronic welding materials: Scaling powder, Solder paste, Thermal Conductive Interface Materials, Solder wire top manufacturer and supplier Hay:I03SZWT
Aluminum-based metal powder, medical dental cobalt-chromium alloy metal powder, iron-based metal powder, aerospace-grade metal 3D printing powder, high-temperature alloy powder, titanium-based metal powder, customized metal powder manufacturer Hay:H01NTJY
TiNi-based memory alloy bar,wire and pipe joint manufacturer and supplier Hay:H05BJHC
China ultra-high voltage power cables, ultra-high voltage submarine cables, ultra-high-voltage conductors, and power optical cables leading manufacturer and supplier start technology research on deep-sea floating dynamic cable systems Hay:F04JSHT
China electrolytic capacitor paper, electrical paper, tea filter paper, cigarette paper, tape paper, vacuum bag paper leading manufacturer and supplier Hay:D10ZJKE
Precise Laser Drilling: HDI PCB Drilling, Laser drilling on metal materials, Laser drilling on non-metal materials leading manufacturer and supplier Hay:T09SZGY
China’s leading manufacturer of aluminum-magnesium-titanium light alloy materials and aluminum grain refiners Hay:A03SZXX
China leading enamel materials manufacturer and supplier possess a variety of core technologies: nano-modified enamel materials, enamel corrugated plate heat transfer elements, extra-long enamel tubes, and thermal insulation aluminum honeycomb Hay:K01ZJKE
Silicon carbide ceramic reinforced fiber materials, Nano silicon carbide ceramic / metal composite precision components nano silicon carbide powder, silicon carbide fiber products leading manufacturer and supplier Hay:D05SZSF
China nickel-based,cobalt-based superalloy precision casting parts and components, medium air-cooled turbine blades, guide vanes, cast-coil rotor guides and combustion chamber pre-diffusers leading manufacturer and supplier Hay:A04JSYH
Chinese metal 3D printing powder:Titanium alloy,high temperature alloy,aluminum alloy, copper alloy,stainless steel manufacturer has developed some titanium alloy 3D printing powder, which has been used in aerospace and medical field Hay:H01XABL
China high-end titanium and titanium alloy leading manufacturers successfully achieved the technological leap from high-speed rolling of Φ25.0mm straight bar to Φ8.0mm titanium alloy wire Hay:A04PGJT
China leading ultra-thin/0.08-1.5mm precision cold-rolled stainless steel strip and pipes, Bright/mirror surface austenitic stainless steel strip,wide cold-rolled stainless steel strip manufacturer and supplier Hay:A02ZJYJ
China leading high-strength ultra-thin large-size aluminum alloy profile, ultra-wide complex section aluminum profile,Aluminum alloy precision forged auto parts & components manufacturer and supplier Hay:A03SDCL
Wire Arc Additive Manufacturing (WAAM) Composite Manufacturing application
Weir Minerals, a world-renowned mining transportation wear-resistant spare parts company, launched alumina composite ceramic wear-resistant spare parts
Chinese leading high temperature alloy pipe and titanium alloy pipe manufacturer Hay:A02HBHR
electrolytic high-purity copper powder, high-purity micro-lead copper powder and iron bronze composite powder manufacturer and supplier Hay:A03CQYY
China leading very low profile (VLP) ultra-thick copper foil, High precision ultra-thin copper foil for lithium battery, copper clad plates (CCL), printed circuit board (PCB) manufacturer and supplier Hay:A03NDTB
Chinese special cable manufacturer achieved breakthrough in high-temperature superconducting cable technology, kilometer-level superconducting cable came out of the laboratory and entered the stage of commercial production Hay:H07SHDL
Leading soft magnetic powder, absorbing material and Nanocrystalline material manufacturer Hay:F05SZBT
Nantero develops carbon nanotube NRAM (Nano-RAM)
US researchers use graphene coating to protect pipelines from bacterial corrosion
China leading aluminium lightweight precision Squeeze Casting (Liquid die forging), Semisolid Squeeze Casting parts and components:Driveline, Powetrain Suspension, Braking and foamed aluminium parts manufacturer and supplier Hay:T03GZHD
LEHVOSS Group releases industrial grade 3D printing materials such as TPU / PP / PEEK
China leading Extremely/Ultra-Thin Electrodeposited Copper Foil for lithium battery manufacturer expands 5μm and 4.5μm ultra-thin lithium battery copper foil project Hay:A03GDJY
China leading electric pumps, motors, electronic controls and automotive electronics, noise reduction (NVH) thermal insulation and lightweight products manufacturer and supplier Hay:Q01LNDE
China graphene power lithium-ion battery manufacturer successfully developed highly conductive graphene-carbon nanotube composite conductive agent slurry Hay:F04FSFS
China’s top and only multi-layer three-dimensional space structure precious metals / platinum metal catalytic net manufacturer and supplier Hay:A01YNGY
Equispheres, a 3D printing metal alloy materials science company, received $ 30 million in financing
SLM/Selective Laser Melting metal 3D printing technology brings new solutions to the mold industry
China photoelectric nanomaterials, High-hardness and high-transparency cover glass, ceramics and metal surface modification materials manufacturer and supplier Hay:B04FSYS
The project of composite silicon micropowder for copper clad laminates invested by nano-silica manufacturer started Hay:B04JSHG
China liquid metal, zirconium-based amorphous alloy manufacturer provides high strength & precision amorphous alloy parts for electric vehicles and mobile phones Hay:H06DGYA
BASF sells its ultrafiltration membrane business to DuPont
China leading super fine steel wire, super fine diamond wire, steel cord for tire, alloy coated steel wire strand, PC steel strand manufacturer and supplier Hay:A02HNHX
China leading superior precision extrusion T-dies, multi-layer blown film dies, hollow profile dies, co-extrusion dies, feedblocks, high-end screen changers, melt pumps manufacturer and supplier Hay:T10ZJJC
Ultra high-speed 3D printing Nexa3D joins Henkel Material Platform and launches co-branded resin
China leading high-strength elevator wire ropes,heavy duty crane wire ropes, steel wire rope for elevator, Lifting steel wire rope, sling wire rope manufacturer and supplier Hay:A02JSSF
Eurofighter Typhoon makes extensive use of high-performance carbon fiber composite materials, aluminum alloys and titanium alloy materials
The new technology of eddy current damping developed in China has nearly 30 invention patents authorized worldwide
Hyosung Advanced Materials Corp.) carbon fiber business department has developed a new type of high-strength medium-mold carbon fiber suitable for the next generation of aviation primary and secondary structural parts,higher strength than Toray T800 carb
LG Chem expands production of “Super Material Carbon Nanotubes (CNT)” and targets the carbon nanotube market with an annual production capacity of 1,700 tons
EC thin-film,disruptive flexible electrochromic thin-film,Auto-dimming rearview mirror, EC facade / window, EC sunroof / side window,EC ski goggles manufacturer and supplier Hay:H05SZGY
3D glass mesh sandwich fabric, 3D glass sandwich fabric, thermoplastic 3D glass sandwich fabric (preimpregn), Solar reflectors, Thermoplastic honeycomb sandwich plates manufacturer and supplier Hay:D02JSTR
China leading graphene powder, graphene composite conductive agent, graphene-based high thermal conductivity carbon plastic alloy manufacturer and supplier Hay:H02XMKN
Huawei Mate Xs released, equipped with zirconium-based liquid metal high-strength hinge Hay:H06DGYA
Nanocomposite zirconia ceramic mobile phone backplane manufacturer launches antibacterial version of nano-combined ceramic material Hay:B01NTTZ
High-purity aluminum sputtering target substrate production line constructed by manufacturer of high-purity aluminum, electronic aluminum foil, and electrode aluminum foil,Achieved commercial production Hay:A01XJZH
Highly purified Rare Earth Reg, RE promoter, NdFeB magnets, RE-Mg alloys, Rare earth metals, Rare earth catalytic materials manufacturer and supplier Hay:A06BTXT
China leading fr-4 epoxy glass fiber cloth copper clad foil laminate, core boards and bonding sheets manufacturer and supplier for multilayer printed circuit boards Hay:I04SHNY
The R & D center of the manufacturer of carbon nanotubes, carbon nanotube films, carbon nanotube powders, and carbon nanotube conductive pastes is recognized as the carbon nanotube fiber composite engineering technology research center Hay:H02SZXW
LED flexible circuit material: flexible copper clad laminate, aluminum-based copper clad laminate, aluminum-based circuit board,covering film manufacturer invested 1 billion yuan to build a flexible copper clad laminate production base Hay:I04GDXX
China leading high pressure die casting of aluminum & zinc alloy precision parts and components,automotive engine block, automatic transmission housing, automotive structure casting parts, manufacturer and supplier Hay:T02CQDJ
China Large Size Casting and Forged Precision Parts and Components for Thermal Power Equipments, Hydro Power Equipments, Heavy-Duty Machineries Manufacturer and Supplier Hay:T03ZGYZ
Carbon fiber reinforced composites/lightweight structural parts, foam (PMI / PET / PVC / polyurethane / carbon foam), honeycomb (aluminum honeycomb / NOMEX / FRP / PP), hollow fabric and other sandwich structure composites manufacturer Hay:D02BJAS
Titanium clad steel composite plate and Molybdenum disc supplier Hay:D04SXBT
China continuous basalt fiber, glass fiber yarn and glass fiber cloth manufacturers and scientific research institutions jointly developed continuous basalt fiber unit kiln production technology Hay:D01SCBX
High-precision electronic copper foil and copper clad laminate manufacturer has newly built high-precision electronic copper foil projects to meet the needs of 5G communications, new energy vehicles, smart terminals and energy storage Hay:A03GDCH
EDAG, Fraunhofer IAPT and GE Additive jointly develop a new aluminum alloy material CustAlloy based on powder bed laser melting (LBM) technology: a light-weight 3D printed aluminum alloy material with higher strength and higher elongation at break
China leading polyphenylene sulfide PPS fiber, nylon PA6, PA66 & HTN fiber manufacturer and supplier Hay:C02ZJYC
China leading Scintillation crystal materials: bismuth germanate (BGO), cerium fluoride (CeF3), barium fluoride (BaF2), thallium doped cesium iodide (CsI), lead tungstate (PWO) manufacturer and supplier Hay:F06SHGS
High-strength thin-plate hot-formed automotive steel manufacturer and Bentler Group Corporation jointly develop thin-spec Hot-rolled FB590 high-expanded steel for automotive subframes Hay:A02HGTG
A1 fireproof foam ceramic insulation board,Lightweight partition wall panel, partition and decoration integration panel, enternal wall exterior / interior thermal insulation, roof thermal insulation, lightweight ceramic brick manufacturer Hay:K06GXTG
Polyurethane-antimony tin oxide composite material helps you hide your shape
China leading UHMW-PE fiber, UHMW-PE Fiber Engineering Yarn, UHMW-PE fiber Cut-resistant gloves, UHMW-PE staple fiber, UHMW-PE fiber bullet-proof cloth, UHMW-PE fiber Rope manufacturer and supplier Hay:D01JSQN
SLM Solutions launches strategic cooperation with Chinese leading femoral nail, titanium plates and screws, pedicle screw with rods, PEEK cage, balloon kyphoplasty for spine fracture medical device manufacturer Hay:P01ZJKH
China leading microwave dielectric ceramics, low-expansion advanced ceramic materials, piezoelectric functional ceramics manufacturer and supplier Hay:B02HNJS
3D printing market including ceramic 3D printing, polymer 3D printing, metal 3D printing, and biological 3D printing will reach US $ 12 billion by 2025
China high-performance wave-transparent ceramics,heat insulation/anti-insulation ceramics, boron nitride ceramic fibers, advanced ceramics precision structural parts, high-temperature ceramic membrane manufacturer and supplier Hay:B03SDTC
European high-performance fiber and composite materials manufacturers and R & D status
China leading high purity ultrafine zirconium dioxide,Zirconium sponge, Stabilized Zirconia, Fused zirconia, Zirconium Silicate, Zirconium Oxychloride Grinding Media & Zirconium Structural Ceramics,Y-TZP Ceramic knife manufacturer and supplier Hay:B01DFGY
Ultra precision metal parts and components Hay:T09KSKS
Global leading Controlled Expansion Alloys:High Thermal Expansion Alloy,Glass Sealing Alloy,Invar 36 Alloy,Invarod Alloy,Low Expansion Dumet Core Rod manufacturer and supplier Hay:H05USCP
Global Leading High-purity Fine Monoclinic Zirconia,Yttria-Stabilized Zirconia/Y-TZP, zirconium oxide powders manufacturer and supplier Hay:B01FRSG
China leading fine metal / alloy powder for laser cladding-iron-based alloy powder, nickel-based alloy powder, cobalt-based alloy powder manufacturer and supplier Hay:H01HBJY
China leading leading submicron copper powder, Spherical nickel hydroxide, punched nickel-plated steel strip, double-sided burr steel strip, perforated aluminum foil and perforated copper foil manufacturer and supplier Hay:A03SZZJ
The “new type of magnesium alloy material for biodegradable magnesium alloy bone nail and bone plate system/controlled degradable bone repair” developed by China Magnesium Alloy Technology Company passed the acceptance Hay:A03SHJD
Global leading Medical Stainless Steel, High Performance Alloys, Nitinol, Titanium, Precious Metals: shaped Wire, Strands and Cables, Tube, Centerless Ground Bar for Orthodontics, Vascular Therapy, Implant Dentistry manufacturer Hay:P01USFW
China leading Pure Gallium Indium Tin Alloy/ low melting point metal alloy / liquid metal, Liquid metal thermal paste, Liquid metal thermal conductive sheet, Liquid metal electronic ink manufacturer and supplier Hay:H06YNYT
High-performance heat-resistant material developed by Chinese researchers: lightweight ablative carbon-based heat-resistant material successfully applied on China’s new generation manned spaceship test ship
Inspection of titanium forgings and titanium alloy forged parts and components
China leading sliding bearings: self-lubricating bearings, water-lubricating bearings, solid-lubricant-impregnated bearings, boundary-lubricating bearings, oil-lubricating bearings, and grease-lubricating bearings manufacturer and supplier Hay:U03ZJSF
China leading Rare earth DOC, SCR, CDPF catalyst manufacturer and supplier
China leading carbon fiber composite structural parts: carbon fiber sucker rod, carbon fiber wear plate, carbon fiber gear manufacturer launched carbon fiber ultra-short fiber + polyester alloy composite new material explosion-proof F wrench Hay:D02SHSH
China leading metal matrix composites bimetals,clad metal materials:stainless steel-steel, Copper-steel, Aluminum-steel, Titanium–steel, High carbon steel-steel, Nickel-matrix alloys–steel manufacturer and supplier Hay:D04JSRB
Global leading hard high-temperature alloy supplier Hay:T09SGSA
China Polyimide (PI) nanofiber membrane manufacturer successfully developed polyimide nanoaerogel filter materials for KN95 and medical masks Hay: F07JXXC
The lightweight thermal insulation composite material / ultra-high temperature thermal protection material developed by the Chinese thermal insulation material manufacturer has been successfully applied to a new generation of manned spacecraft Hay:F03BJWX
High temperature superconducting materials market analysis
Chinese OEM Car Maker takes the lead in mass application of graphene batteries: charging speed is comparable to refueling
Manufacturing process of high-purity metal sputtering target
“Super Steel” developed by China Special Steel is superior to aerospace steel
Manufacturer of insulation materials for ultra-high voltage cables, fireproof cables, and wind energy cables provides cable insulation materials to global cable groups such as Prysmian, Nexans, General Electric … Hay:F04ZJWM
China leading high temperature alloy/heat resistant alloys cast tubes,pipes,parts and components manufacturer Hay:A04QDXL
Global Leading Ultra-thin Vapor Chamber/VC Supplier Hay:F03SZTM
Refractory raw materials: manufacturing and application of silicon carbide
Touch screen cover glass, thin film battery (TCO) glass, photovoltaic coated glass (AR), ITO glass manufacturers provide glass products for Tesla charging piles Hay:B04JSXQ
Carbon Fiber Reinforced Polymer (CFRP): Carbon fiber reinforced polypropylene and nylon 6
Titanium alloy materials have great potential in the marine field, and the application scenarios are constantly expanding
Development status of high-precision 3D printing ceramic parts
Chinese researchers have developed Lightweight, tough, and sustainable cellulose nanofiber-derived bulk structural materials with low thermal expansion coefficient
China leading brake discs, brake hubs, wheels, brake calipers, brake pads, air pumps, water pumps and oil pumps manufacturer and supplier Hay:Q02SDLJ
China metal matrix ceramic composite casting parts and components: High Mn Steel matrix ceramic composite wear parts manufacturer and supplier Hay:D05BJJM
The low-temperature and fatigue-resistant polyurethane elastic pads produced by the polyurethane shock-absorbing and energy-absorbing elastic pads have passed the quality verification of the Chinese railway department Hay:C02BJGM
The electromagnetic wire of China’s leading special cable and electromagnetic wire manufacturer is released through PPAP of Tesla’s Shanghai plant Hay:F05CSJB
China foam ceramic filter for metal liquid filtration,ceramic core for precision casting,straight hole ceramic filter for foundry industry,metallurgical industry manufacturer and supplier Hay:B03FSFT
Polyplastics Group launches a new DURACON (R) polyoxymethylene (POM)
China Leading Thermal Management & Heat Dissipation System: heatsink, heat pipe / tube for LED lighting, consumer electronics manufacturer and supplier Hay:F03SZCP
Carnegie Mellon University/CMU showcases a self-healing smart conductive polymer based on polyborosiloxane
The high-power density fuel cell thin metal bipolar plate developed by the fuel cell metal bipolar plate manufacturer and the mass precision manufacturing technology won the Shanghai Technology Invention Special Award Hay:N01SHZZ
In the RACE-TP alliance (lightweight recyclable automotive thermoplastic composite structural components suitable for mass production), Arkema and its partners have developed an acrylic-based thermoplastic Elium
Metal 3D printing as a disruptive technology for superalloys
A super-stretchable liquid metal foamed elastomer for tunable control of electromagnetic waves and thermal transport
China Polymer Technology Group successfully developed high-density polyethylene (HDPE) black material for large-diameter gas pipeline Hay:C02SHSH
American researchers use graphene to “renovate” carbon fiber composite materials: the strength is increased by 225%, the rigidity is 184% higher, and the cost is greatly reduced!
AnHui supports the development of ceramic-alumina composite materials and aluminum-based metal materials industry
PolyOne launches new Bergamid ™ polyamide laser weldable material to improve the welding strength and aesthetics of laser welded parts
China leading Rare Earth Chemicals, Rare Earth Metals and Alloys, Rare Earth Luminescent Materials, Rare Earth Magnetic Materials, nonferrous metal materials manufacturer and supplier Hay:A06BJYY
Boston Materials has developed a supercomposite: carbon fiber composites have a 150% increase in z-axis thermal conductivity
Metal 3D printing technology developer Velo3D received another $ 28 million in financing and is already a SpaceX supplier
BMT releases next-generation unmanned surface boat Pentamaran, carbon fiber composite material has extraordinary potential in the marine field
Thomas GmbH + Co. Technik + Innovation KG: TTI company uses pultrusion technology to produce auto parts to achieve commercial production
The high-performance thermal insulation and wear-resistant materials developed by the Chinese special ceramic material manufacturer have been successfully used in the Long March 5B rocket and manned spacecraft Hay:F03GSYS
Dow and Doxa Plast cooperate to produce renewable stretchable membranes: Reborn Doxa Plast series stretched membranes are integratedly processed from bio-based linear low-density polyethylene and ELITE 5230GC R reinforced polyethylene resin
China leading titanium alloy precision castings,high temperature titanium alloy 3D printing material manufacturer successfully developed TA32 high temperature titanium alloy powder for Additive manufacturing Hay:H01HEHT
US Army develops new material design methods to improve alloy ballistic impact performance
China’s carbon matrix heat-resistant composite material design has reached the international advanced level
New 617 alloy [nickel, chromium, cobalt and molybdenum alloys] materials approved by the United States for high temperature reactors
Chinese nanotechnology manufacturer builds new industrial parks of nano-spherical silicon micropowders, nano-gradient structure ultra-high temperature insulation materials and nano-particle whisker composites Hay:F03SXST
Huntsman completes acquisition of CVC thermosetting specialty materials company
PolyOne launches crosslinkable BARRICADE ™ elastomer: lighter weight, easier processing, and high heat resistance
China leading continuous boron nitride fiber,alumina ceramic wear-resistant lined composite pipe, alumina ceramic microbeads, fused silica ceramic roller, fused silica refractories manufacturer and supplier Hay:B03SDTC
Swedish PowerCell becomes the only fuel cell supplier for the German ASI project
China leading steering system precision aluminum alloy die castings, power recirculating ball steering gear, power rack-and-pinion steering gear, steering knuckle manufacturer and supplier Hay:Q02ZJSB
China Ultra/Super precision bearing sleeve manufacturer and supplier Hay:T09SHXF
Chinese special steel engineering technology company exports Chinese cold-rolled silicon steel technology to Russian steel giant New Lipetsk Steel Corporation (NLMK)
Global market value list of 3D printing technology equipment companies, two Chinese companies on the list
China leading automobile precision aluminum alloy die casting parts and components manufacturer introduces 20 Buhler 4400 tons cold chamber horizontal die casting machine Hay:T02GDHT
China hydraulic system precision castings: Valve Block, Pump Case, Swash Plate, Pump Cylinder Block, Sectional Inlet Block, Mono-Block Valve, Cylinder Cover, Guide Sleeve, Planet Carrier / Case, Rod Body Casting manufacturer and supplier Hay:T02JSHL
China leading high-performance hard tungsten alloy bar, nano tungsten powder and nano tungsten carbide powder manufacturer and supplier Hay:A04JXWY
Chinese researchers prepare new carbon nanotube / graphene aerogel
Toray Advanced Composites prepreg resin system has passed SFI 56.1 and UL94 V0 flame retardant certification, meeting the strict requirements of Formula One racing, NASCAR racing, other high-end automotive and racing markets.
Cummins diesel engine parts: cylinder block, cylinder head and connecting rod supplier Hay:Q02HBCY
Application and development of titanium and titanium alloys, copper alloys, aluminum alloys, 254SMO super austenitic stainless steel and other materials in seawater desalination equipment
DuPont announces that Chinese flame retardant manufacturer SDXR has become a Chinese partner of BLUEDGE polymer flame retardant technology
Superalloy/high temperature alloys classification
China leading magnesium alloy precision casting, soluble rare earth magnesium alloys,magnesium master alloy, magnesium rare earth master / intermediate alloy, magnesium alloy bar, aluminum intermediate alloy manufacturer and supplier Hay:A03HNYH
The application for high-purity graphite products in the field of industrial electrical conduction and metallurgy
China power transmission parts, pulleys, taper bushings, gears, sprockets, timing pulleys, couplings, industrial belts; Non-standard customized parts: machine tool bodies, columns, workbenches, machine heads, gear boxes manufacturer Hay:T09SCDE
Global leading rolled copper products: Oxygen-free copper,hollow conductors,strips, plates, bars, wires, lead frames, forged products, bus bars, welding electrodes and superconducting wires manufacturer and supplier Hay:A03JPSL
Manufacturing and application of TiC Matrix cermet /metal ceramics
Focusing on passive heat dissipation, multiple materials constitute a 5G equipment heat dissipation design solution
Thermally conductive graphene film materials /Graphene thermal film , the technological strength of Chinese enterprises has rapidly improved
Ford and Magna cooperate to jointly develop a technical route that can use carbon fiber composite materials to mass-produce front subframes of lightweight vehicles
Toray Nylon Primeflex fabrics are launched worldwide, with elastic technology, more waterproof and breathable
Application and development of carbon fiber composite materials in the field of automotive inspection tools
Manufacturer of rare earth oxides and rare earth metals, rare earth magnetic materials, polishing materials, hydrogen storage materials, luminescent materials, catalytic materials build cerium-zirconium production lines Hay:A06BFXT
Chinese titanium alloy manufacturer adopts innovative TB6 titanium alloy, [a beta titanium alloy] melting method to solve the problem of beta spots in titanium alloy Hay:A04BJTY
China’s vanadium-titanium alloy,titanium alloys,3D printed spherical titanium alloy powder,high-temperature alloy,special stainless steel, high-strength steel manufacturer won the national “technology Innovation demonstration enterprise” Hay:A04PGCD
Eurecat Technology Center develops CFIP technology that uses continuous carbon fiber to reinforce 3D printed parts, which not only improves strength but also reduces weight
China leading orthopaedic external fixation material manufacturer invests in temperature-sensitive shape memory material: three-dimensional remodelable medical polymer splint project Hay:P01YCDH
Solar Applied Materials Technology (SAMT) supplies TSMC sputtering targets
Japan Toray Increases Torayfan Oriented Polypropylene (OPP) Film Capacity to Meet Automotive Capacitor Market Demand
3D printing technology in aviation and aerospace
China leading CuCrZr alloy powder,high temperature alloy,aluminum alloy,titanium alloy,cobalt chromium alloy,copper alloy 3D printing metal powder manufacturer launches GH5188 cobalt-based superalloy 3D printing powder Hay:H01JSWL
China leading steel wire,flame retardant,cold and high temperature resistant fabric conveyor belt manufacturer mass-produce high-performance aramid fiber, carbon fiber conveyor belt Hay:U02WXBT
Leading developers and manufacturers of GaN technology in China
China Top Nuclear grade/non-nuclear grade zirconium hafnium alloy materials: N36 zirconium alloy, N45 zirconium alloy tube, Zr-4 zirconium alloy stack manufacturer and supplier Hay:A04SXXG
The F1 Organizing Committee approved two additive manufacturing aluminum alloy powders A6061-RAM1 and A2024-RAM2 developed by Elementum 3D of the United States, which can be used for the manufacture of racing parts
China leading high-temperature superconducting (2G-HTS) strips, superconducting material manufacturer provide high-temperature superconducting DC cables for China’s superconducting demonstration projects Hay:H07SZDB
Nickel beryllium Alloy 360 (UNS N03360) supplier Hay:A03USMA
Chinese researchers synthesized carbon nanotube CNTs on silicon carbide SiC fibers to prepare high-performance electromagnetic wave absorbing CNT/SiCf composites
Renishaw: how to solve the residual stress of metal 3D printing
Global leading high purity aluminum nitride (AlN) powder and ceramic product manufacturers will build commercial centers to promote silicon nitride substrate applications Hay:B01JPDS
Boston Materials is supported by the National Science Foundation (NSF) to accelerate the development of high-performance carbon fiber composite materials
China leading high-power density fuel cell thin metal bipolar plate manufacturer builds a metal bipolar plate production base for hydrogen fuel cell core components Hay:N01SHZZ
China’s high-purity silicon carbide powder, high-purity silicon carbide material, and high-purity semi-insulating wafer manufacturer invested silicon carbide single crystal substrate material production base successfully put into production Hay:B01ZGDK
Researchers from the German Aerospace Center (DLR), Invent and the Technical University of Munich are collaborating to carry out research on the FlexMat project, jointly designing a flexible rubber-glass fiber composite skin to reduce aircraft flight nois
China leading Tungsten Heavy Alloy and Tungsten Carbide, cemented carbide, copper-tungsten alloy manufacturer and supplier Hay:A04ZZRC
3D printing material polyamide PA nylon
China silver-based and copper-based electrical contact materials, precision nickel alloys, copper alloy materials, copper Matrix precious metal composite materials manufacturer successfully developed nickel-chromium resistance alloy foil Hay:F04CQYB
China leading manufacturer of precision stamping dies and precision stamping metal structures build new production bases for automotive precision metal structural parts and components Hay:T01GDXX
Chinese lithium-ion battery material manufacturer enters display materials industry, plans to acquire LG Chemical LCD polarizer assets for more than US$770 million
China nano-grade yttrium oxide, titanium oxide, zirconium oxide, aluminum oxide, silicon oxide manufacturer successfully developed nano-modified thermal insulation paste Hay:B01SZME
US researchers use graphene oxide/aramid nanofibers to develop supercapacitor electrodes
China leading aluminum alloy semi-solid castings and SiC/silicon carbide reinforced aluminum matrix composite parts supplier Hay:D05HNWC
China microelectronic grade high-performance polyimide PI film, CPI (Colorless Polyimide) Films manufacturer successfully developed Thermoplastic Polyimide (TPI) Film Hay:C04SZDB
Chinese special cable manufacturer cooperates with Chinese zirconium, hafnium, hafnium-free nano-grade zirconia, zirconium alloy, hafnium alloy manufacturer to develop zirconium alloy special cable Hay:F03JSZC
Carbon fiber composite materials provide more options for lightweighting in the transportation field
Chinese suitcase manufacturer uses Covestro’s Maezio® carbon fiber composite materials to develop aluminum-magnesium alloy frame and carbon fiber composite material support board/pannel suitcase Hay:D02SHTJ
Silicon carbide-based gallium nitride: GaN-on-SiC paves the way for 5G
The first prize of the provincial-level technical invention award for “micro-casting and forging” 3D printing technology developed by China’s leading metal 3D printing equipment manufacturer Hay:H01WHTY
SiC reinforced aluminum brake pads for high-speed rail Hay:D05HNSX
China leading tapes,insulation,buffering,EMI,shading tapes,thermal products, conductive products, optical film, touch panel, cover glass, precision metal parts manufacturer and supplier Hay:T01SZAJ
Fraunhofer’s additive manufacturing future-futureAM project, which can achieve 10 times speed increase of powder bed 3D printing and 1 meter processing size
GH2132 High Temperature Nickel Alloy Steel Bar and wire Hay:A04ZJYX
Chinese special alloy manufacturers carry new products: high-performance precipitation-strengthened tin-nickel-zinc-copper alloy at the Munich Electronics Fair [electronica 2019] Hay:F04NBBW
China high-performance NdFeB permanent magnet materials, permanent magnet ferrites, soft magnetic materials, metal soft magnetic powder core industry structure
Chinese researchers have developed ultra-stable three-dimensional platinum-copper nanowire catalytic materials with a mass activity of 14.1 times that of commercial platinum catalysts
China Very Low Profile (VLP) ultra-thick electrolytic copper foil,lithium battery copper foil,shielding copper foil manufacturer is actively deploying 5G high-speed high-frequency 4.5-9μm copper foil,RTF copper foil Hay:A03NDTB
China leading 0.4mm ultra-thin aluminum plate, 430g/㎡ limit zinc layer zinc aluminum magnesium, Ni series ultra low temperature steel manufacturer and supplier Hay:A03HGTG
Chinese LED light pole screen manufacturer launches magnesium alloy die-cast LED light pole screen
Volkswagen invests US$200 million in Quantum Scape to develop solid-state battery technology
The Italian additive manufacturing company CRP Group has developed a functional airbag housing for the American Joyson Safety Systems, which is laser sintered using automotive carbon fiber reinforced composite materials
Chinese precious metal materials manufacturer mass-produces gold nanocomposites Hay:D04YTGJ
China TWS headset high precision ultra-thin electronic copper foil manufacturer successfully developed VLP copper foil, HVLP copper foil in high frequency and high speed field, RTF copper foil for 5G communication Hay:A03GDCH
miniFactory releases the third generation product developed in the field of polymer 3D printing: ULTRA
Fiber-reinforced composite materials developed by German scientists are expected to replace metals and achieve lightweight vehicles
China’s leading PA6 chip,polyamide 6,nylon fiber grade,engineering plastic grade, film grade chip manufacturer successfully IPO Hay:C02HZJS
Chinese researchers have successfully achieved a large-scale synthesis of a new type of crystalline phosphorus nanoribbons: Crystalline Red Phosphorus Nanoribbons: Large-Scale Synthesis and Electrochemical Nitrogen Fixation
Graphene, aerogel, carbon nanotube, fullerene, amorphous alloy, foam metal, titanium-carbon composite material, shape memory alloy, magnetostrictive material…20 kinds of new materials with future potential
German bionic company cooperates with SGL Carbon to develop a load-bearing structure of human exoskeleton carbon fiber, which can protect workers and increase productivity
BASF establishes global technology center for metal surface treatment in Shanghai
Lincotek medical: a one-stop medical 3D printing service provider that has printed 500,000 parts will build an additive manufacturing service in China
China leading high-purity crystal hafnium and crystal zirconium,hafnium & zirconium targets, plates, rods, filaments, pipes, foils,nifnium-niobium alloys, nifnium-niobium alloys manufacturer and supplier Hay:A04NJYT
China leading Magnesium alloy,aluminum alloy precision die-casting parts: automobile lamp bracket, heat dissipation frame, central console magnesium alloy and aluminum alloy skeleton manufacturer successfully IPO Hay:T02NBXY
Innovative application of carbon fiber composite material: carbon fiber composite material fills loopholes in acrylic polymer kettle
Arevo is building the world’s largest high-speed continuous carbon fiber reinforced polymer/CFRP additive manufacturing plant
Next Flex, a US-based alliance organization for 3D printing of flexible hybrid electronics (FHE), received $154 million in investment
Huawei acquires a 10% stake in China’s leading silicon carbide semiconductor substrate material manufacturer Hay:I01SDTY
China’s leading solar positive electrode silver pastemanu facturer `s HIT solar cell low-temperature curing conductive silver paste achieve mass production Hay:I02SZJY
China’s leading aluminum-based copper-clad laminates, high-frequency copper-clad laminate manufacturers newly added high-frequency copper-clad laminate capacity of 2 million m2/year Hay:I04HNGS
Toray plans to enter the luminescent materials/photosensitive Polyimide market, and its OLED materials business sales will double to 50% in FY2022
China’s leading Brother/SEIKO/MITSUBISH/GEMSY/NITTAKA/K-CHANCE/SINGER/JUKI/ABLE sewing machine hook manufacturer and supplier Hay:T09NBDS
Through-Glass Vias (TGVs) technology leader Mosaic Microsystems Secures $2 Million Seed Round from Corning
Taiwan Semiconductor Wafer Factory GlobalWafers and National Jiaotong University jointly developed third-generation semiconductor material technologies including silicon carbide (SiC) and gallium nitride (GaN)
China’s leading ultra-precision ultra-thin copper foil manufacturer’s 5G copper foil technology development trend: lighter and thinner
Global Leading Hyper Phosphor Bronze,Titanium Copper Alloy,Corson Alloy: copper-nickel-silicon alloy,Cu-Zn alloys,Nickel Silver,High Conductivity Copper Alloy Manufacturer and Supplier Hay:A03JPJX
China Highly clean,antistatic,antibacterial,high weather resistance,fluorocarbon (PVDF) functional color coated steel plate, metal printing plate, PCM, VCM, embossed plate coated steel plate for home appliances manufacturer and supplier Hay:K01SZYZ
The US federal grants 20 million US dollars for the design and development of 3D printed complex alloys and polymer materials
Japan Toray increases the production capacity of oriented polypropylene film/OPP to meet the needs of the automotive capacitor market
Diamond wire cutting silicon carbide will have certain advantages
Aluminum-based Rutherford superconducting cable developed by Chinese high-temperature superconducting cable manufacturer passed project acceptance Hay:H07ZGKX
China leading carbon nanotubes and graphene,natural graphite, artificial graphite, Si-and-tin based anodes, and Graphene conductive conductive slurries manufacturer and supplier Hay:H02QDHX
Graphene oxide coating improves the hydrophilicity of implant materials
Chinese researchers have prepared YSZ/Ag self-lubricating coating on 316L stainless steel substrate
China high-purity thin-film materials: Sputtering target materials, evaporation material manufacturer build new sputtering target: high-purity copper targets, aluminum scandium targets and molybdenum target projects Hay:A01FJAS
China leading high-performance carbon fiber composite materials: carbon fiber shells, carbon fiber profiles for aerospace and rail transportation: manufacturers invest in the construction of carbon fiber composite materials R&D center Hay: D02WHGW
China’s high-strength automobile steel plate manufacturer builds a new high-strength steel sheet production line project Hay:A02HNHL
Made in Space (MIS), the world’s first company to send 3D printers to the International Space Station, has been acquired by Redwire
China leading sapphire-like super-hard and anti-reflective coating Film,The intelligent teriminal touch screen panel,The cover glass of HD camera manufacturer and supplier Hay:C04HNDB
High-performance spring steel produced by Chinese special steel manufacturer successfully applied to Volkswagen stabilizer bar Hay:A02HGJT
High efficiency corrosion-resistant bimetallic composite pipe hydraulic bulging process equipment Hay:D04XAXY
Typical applications of Evonik Plexiglas® acrylic sheet and acrylic resin products
Creative carbon fiber composite products
Team Group introduces the first “ceramic” PCIe 4.0 SSD, using aerospace ceramic composite heat dissipation materials
China Nanotechnology Corporation mass-produced nano-silver ion sterilization fabric, which automatically killed 94% of bacteria in 20 minutes Hay:P10GDZD
Chinese thermoplastic elastomers, modified plastics, HNBR, TPV/TPE, TPU manufacturers invest in the construction of thermoplastic medical bromobutyl rubber (TPIIR) project Hay:C03SDDE
Japan AGC and NTTdocomo jointly develop glass antennas to transform glass windows into 5G base stations
Metal additive manufacturing expert Amaero releases high-performance 3D printed scandium-containing aluminum alloy Amaero HOT Al
Kuraray will expand the production of activated carbon in the US subsidiary Calgon Carbon Corp.
Fiberline, a manufacturer of carbon fiber and glass fiber profiles, provides carbon fiber profiles for Nordex Group wind turbine blades
Chinese special steel and super steel manufacturer won orders for European standard series of low temperature resistant offshore steel for Arctic Circle LNG2 project Hay:A02SGJT
Orbex Aerospace:Carbon fiber and aluminum matrix composite materials help the rapid development of commercial orbital rockets
High-performance magnesium alloy, which can replace steel and aluminum alloy, is used in automobile and aerospace parts, can significantly reduce vehicle carbon emissions
The world’s leading Flex PCB, Metal Base PCB, Rigid-Flex PCB, HDI PCB, High Layer Count PCB, RF PCB, Cooper Inlay manufacturer and supplier Hay:I04SZJW
Basanite Industrial`s basalt fiber-reinforced polymer bar BasaFlex™ passes initial certification testing
The 7μm lithium battery separator produced by China’s leading lithium-ion battery separator manufacturer achieves large-scale supply to global leading lithium battery manufacturer Hay:N02ZCKJ
Ultra-thin amorphous & nanocrystalline ribbon/strip supplier Hay:F05SXTG
Using asphalt to produce low-cost carbon fiber composite materials for automobiles
China leading precious metal catalysts: Palladium catalysts, Platinum catalyst, Rhodium catalysts, Ruthenium catalyst, Iridium catalyst manufacturer and supplier Hay:A01XAKL
China thermally conductive gaskets/Gels/adhesives/greases,thermally insulating sheets,thermally conductive phase change materials,electromagnetic shielding material manufacturer completed tens of millions of A round of financing Hay:F03SZTJ
China leading PCB photosensitive ink, solder mask ink, UV curing coating, photoresist manufacturer and supplier Hay:I04JSGX
Carbon fiber reinforced carbon-matrix composites supplier Hay:F03HNJB
Chinese precision aluminum sheet,aluminum strip,aluminum foil manufacturer passed VDA6.3 audit, and successfully supplied lithium battery aluminum foil to SK Innovation Germany factory Hay:A03HBLY
Ultralow-dielectric-constant amorphous boron nitride/a-BN
The first phase project of HBSH precision casting company was officially put into production Hay:T02HBSH
Tohoku University uses NEC’s vector supercomputer SX-Aurora TSUBASA material integration system to accelerate the development of aviation carbon fiber reinforced composite materials (CFRP)
China Leading Thermal Interface Materials: Vapor Chamber: VC, Thermal Conductive Pad, Thermal Conductive Grease, Thermal Conductive Insulator, Thermal Conductive Tape Manufacturer and Supplier F03SZSN
Dow launches new DOWSIL TC-3065 thermally conductive gel
Typical application of basalt fiber
Australian startup company JUC Surf creates the world’s first recyclable carbon fiber surfboard
Chinese polyethylene and polypropylene manufacturers mass-produce metallocene polyethylene Hay:C02NXBF
Polymaker and Covestro jointly develop 3D printed fabric products based on TPU and TPEE materials
China’s ultra-large casting and forgings,special stainless steel products and high-temperature alloy precision parts manufacturer release first half of 2020 performance forecast Hay:A02SZGD
Covestro launches new polycarbonate film Makrofol EC
Manufacturer of electroplated diamond wire for cutting crystalline silicon, sapphire and other hard and brittle materials successfully IPO Hay:B06SXYL
Metal fiber and metal fiber felt and mesh,metal fiber filter device products are mainly used in the field of chemical fiber, automobile exhaust treatment, high temperature flue gas dust removal Hay:F09XAFE
Kinebo Belltron® conductive fiber
China Top ultra-high purity sputtering target materials: molybdenum target, aluminum target, titanium target, tantalum target, tungsten titanium target manufacturer released first half financial report Hay:A01NBJF
The patented technology of silicon carbide combined with silicon nitride developed by Chinese leading silicon carbide refractory manufacturer won the second prize of national scientific and technological progress Hay:F03ZGNX
SK IE Technology will begin production of CPI covers for foldable smartphones based on colorless polyimide (CPI).
Celanese will sell its 45% stake in Polyplastics to Daicel Corporation for US$1.575 billion
Aircraft manufacturer CubCrafters will be equipped with Hartzell three-bladed carbon fiber composite propellers
China Special Steel Group has successfully developed ultra-thin 0.11 mm wide battery Connecting piece steel for high-end electronic equipment such as 5G base stations
Development and application of ultra-fine copper alloy conductors
Self-inductive material made of 3D printed fiber-reinforced thermoplastic
Global leading Graphite Electrodes,Carbon black,Fine Carbon,SiC Coated Carbon,Smelting and Lining Furnaces, Friction Materials,Anode Materials manufacturer and supplier Hay:B06JPDH
China high performance NdFeB permanent magnetic materials manufacturer and supplier Hay:F05YTZH
Rock West Composites releases 3Form Varia Ecoresin carbon fiber building panels
German automotive NVH solution provider Vibracoustic launches front axle plastic support parts to reduce vehicle weight by 30%/improve NVH performance
Chinese leading aerospace aluminum alloy manufacturer provides aluminum-lithium alloy materials for Mars rover Hay:A03ZLXN
Competing with international EMI shielding film giants Tatsuta and Toyo Ink Group on the same stage, China EMI shielding film manufacturer has achieved China’s first place and has a global market share of 25% Hay:F05GZFB
Classification and characteristics of silicon powder for copper clad laminates
China high-strength and high-ductility cold-rolled ribbed steel bar manufacturer and supplier Hay:A02HNFX
Global excellent supplier of ultra-pure high-performance superalloy materials, complex thin-walled superalloy structural parts Hay:A04JSTN
Global leading manufacturer and supplier of 3D printing high temperature plastics: PEEK, PEKK, PEI, PPSU / PPSF, PAEK, PC, PA, PVDF, TPI
Graphite heat dissipation material,High purity expandable &spherical graphite,Natural graphite recarburizer,Porous graphite for alkaline zinc-manganese battery,Alkaline zinc manganese battery powder,High purity flake graphite Hay:B06QDLW
China leading Quantum Dot Film Materials,Quantum Dot Light Conversion Film (QLCF) manufacturer and supplier Hay:I01HZNJ
China’s super spider silk protein technology won 3 world firsts Hay:D01JSYY
BASF launched a new engineering plastic: carbon fiber reinforced polyethersulfone (PESU) Ultrason E0510 C2TR is particularly suitable for automotive parts in contact with hot oil
China leading Artificial sapphire crystal, third-generation semiconductor silicon carbide crystal, graphene carbon nano electric heating material, mobile phone ceramic back plate manufacturer and supplier Hay:B06BJGH
Novelis aluminum alloy auto sheet is expanding production year by year, further highlighting the strategy of lightweight aluminum sheet for automobiles
China leading ultra-microcrystalline scandium aluminum alloy material manufacturer has received 100 million of A round of financing, with great potential in areas such as aluminum substituting copper and aluminum substituting steel Hay:A03ZJJL
The only manufacturer in China that masters the technology of micro-shuttle mirror reflective film Hay:F06ZJDM
China’s leading manufacturer of aluminum alloy forgings and precision aluminum alloy processed parts has obtained the qualification of Robert Bosch P/Prefered supplier status Hay:T03JSSM
China’s Amine-based sound-absorbing and thermal insulation cotton board,Melamine foam,polyimide special foam provide ultra-light honeycomb reinforced low-density ablation and heat-proof materials for the Chinese Mars Rover Tianwen-1 Hay:F03HNLY
China’s leading Pure titanium sheet,stainless steel,titanium alloy sheet, tube, wire rod and powder manufacturer and supplier Hay:A04ZJSJ
Avient Corporation announced the launch of medical TPE materials free of animal derivatives, adding 8 new grades to its healthcare product portfolio Versaflex™ thermoplastic elastomer (TPE) product line
China’s leading aerospace carbon fiber composite material manufacturer passed the AS9100D international aerospace quality management system certification Hay:D02CZAT
China’s leading precision metal stamping parts: notebook computer metal casing, smart wearable device metal casing, and smartphone metal casing manufacturers have a total investment of 5.2 billion yuan to build a new production base Hay:T01CZZS
China Special Cable Corporation launched graphene silver copper alloy conductor frequency conversion cables, tetrafluoroethylene copolymer insulated control cables, and photoelectric composite high-strength load-bearing detection cables Hay:AF04BJDH
Nitto Denko Corporation and Nippon Electric Glass have jointly developed ultra-thin glass polarizers! The world’s first R2R process with a thickness of 100μm
Nickel and nickel alloy materials,resistance electric heating alloy materials,high-strength Monel corrosion-resistant alloy materials, copper-nickel and nickel-copper alloy materials, nickel-based high-temperature alloy materials manufacturer Hay:A04SYHJ
Chinese composite material manufacturer provides titanium lining and high-strength carbon fiber wound composite high-pressure gas cylinders for Beidou satellite
LG International Corp. will purchase lithium hydroxide from Chinese lithium battery material manufacturer and supplier Zhiyuan Lithium
China’s modified plastics development policy: polypropylene (PP), acrylonitrile-butadiene-styrene copolymer (ABS), polyamide (PA), polycarbonate (PC), polyoxymethylene (POM), poly-p-phenylene Butylene dicarboxylate (PBT), reinforced polyurethane (PRT)
Ricoh has developed the world’s lightest Smart Glass with a thin, lightweight plastic light guide plate and weighs only 49g
The titanium alloy drill pipe developed by China Drill Pipe Corporation was successfully used in oil wells Hay:A04BHNK
Quartz Boat,Diffusion Furnace Tube,Quartz Crucible,Quartz Heat Shield,Quartz Furnace Door,Quartz Boat Rack,Quartz carrier,Quartz ring,Quartz flange,Quartz Window,Quartz Sheath,Quartz connector,Quartz Granule,Quartz Substrate manufacturer Hay:B04SHFL
Development status of high-strength and high-elasticity titanium alloys
Chinese manufacturer of high-nitrogen austenitic valve steel and 6Cr13Mo high-carbon martensitic stainless steel broke through the continuous casting technology of 95Cr18 high-carbon martensitic stainless steel Hay:A02SCPG
New breakthrough in carbon fiber technology and equipment developed by China’s leading ultra-thin carbon fiber composite material manufacturer Hay:D02HBHC
Global superconducting material industry market status and competitive landscape analysis: low-temperature superconducting materials occupy a dominant position
China’s leading manufacturer of auto parts and precision machinery forgings has strategic cooperation with Schaeffler Hay:T03WHSL
Chinese researchers have made progress in the research of high-density, high-temperature and heat-sensitive composite ceramics
The high-strength, high-toughness and corrosion-resistant aluminum alloy project of a Chinese light alloy material manufacturer was completed and put into production Hay:A03YLRX
Chinese leading Silane Coupling Agent,Anti-Reversion Agent,Antioxidant Agent,Prevent Blooming Agent,Fumed Silica,Anti-Adherent Agent,Silane coupling agent manufacturer successful IPO Hay:C06JXHB
China’s leading manufacturer of non-porous waterproof and moisture-permeable membranes and scientific research institutions successfully developed a new type of medical dressing: copper-based MOF hydrogel functionalized antibacterial membrane Hay:P10FSJW
The high-conductivity and high-toughness copper wire production project of China’s leading high-precision copper alloy strip and copper alloy tube manufacturer has entered the trial production stage Hay:A03NBJT
KAERI promotes the industrial application of boron nitride nanotubes (BNNT) in nuclear and space
China’s leading automotive precision magnesium alloy castings: manufacturers of large-scale thin-wall magnesium alloy parts and instrument panel magnesium alloy skeletons Hay:T02ZJWF
SK Innovation’s first commercialization project of 90% nickel-containing batteries kicks off, battery life will reach 700 kilometers
The leading aluminum matrix composite supplier Hay:H01WXFE
Sabic, RLE international, AMA Composites and Setex Textil GmbH jointly develop automotive panels featuring thermoplastic prepregs
The lightweight trend of electric vehicles will drive the use of aluminum grain refiners and aluminum master alloy products Hay:A03SZXX
Global Leading manufacturer and supplier of Ethylene chlorotrifluoroethylene:ECTFE,High-performance semi-crystalline fluoropolymers Hay:C02BESV
Chinese leading manufacturer and supplier of precision stamping parts for UAES,Bosch,Johnson, Brose, and ALPS Hay:T01WXWY
China`s leading manufacturer and supplier of aluminum alloy high pressure die casting products:engine cylinder head cover, the transmission/clutch shell, the cylinder block and oil pan Hay:T02DLYM
A Chinese manufacturer of nuclear-grade sponge zirconium and hafnium exports nuclear-grade sponge zirconium to oversea markets for the first time [an important raw material for manufacturing nuclear-grade zirconium alloy materials] Hay:A01ZHYZ
Zirconium Titanium Giant Iluka Rare Earth Project in Western Australia is about to start production
China’s leading manufacturer of high-frequency and high-speed PCBs,high-density interconnect boards (HDI), rigid-flex boards, and thin PCBs releases first-half financial results Hay:I04SZCD
A Sino-Korea joint venture invested 6 billion yuan to build a project with an annual output of 50 million flexible folding screen glass substrates Hay:B04SHSC
China’s leading manufacturer of automotive precision aluminum alloy die castings won three awards: “THANKS Contribution Award”, “MZK Improvement Award” and “Packaging Improvement Award” from NCIC Hay:T02GDHT
China’s leading high-strength/high-corrosion-resistant rare earth magnesium alloy, aluminum alloy materials and products manufacturer and supplier Hay:A03SZAS
The new PLA production line built by China’s leading PLA manufacturer realizes commercial production Hay:C03AHFY
China’s leading manufacturer of thin-walled hollow aluminum alloy body parts for automotive,yacht,high-speed rail and subway industries Hay:T06SDNW
Chinese display solution provider orders inkjet printing system from Kateeva to expand OLED production line
China`s leading manufacturer of precision aluminum foil for power battery electrodes,air-conditioning ,food and tobacco Hay:A03JSDS
China’s leading fully biodegradable plastic: PBAT/PBSA,PLA manufacturer’s new project introduction Hay:C03GZJF
Large tow carbon fiber/50K large tow carbon fiber has gradually become a hot spot in the global carbon fiber market
Vacuum Thermal Insulation Panel Core and Microfiber glass wool supplier Hay:F03CQZS
China’s leading manufacturer of gearbox aluminum housing die castings adds a new Audi B9 suspension mount production line
China’s leading manufacturer of ultra-pure high-performance superalloy materials, ultra-pure nickel-based superalloys, complex thin-walled superalloy structural parts, superalloys and stainless steel seamless pipes Hay:A04JSTN
China’s leading high-alumina glass manufacturer’s newly-built Low Temperature Poly-silico: LTPS process with high temperature glass substrate production line officially commercialized production Hay:B04HBDX
Continuous carbon fiber 3D printing equipment manufacturer:AREVO launched the Aqua 2 3D high-speed printer system, which can be used to print large-format continuous carbon fiber parts
China’s leading manufacturer of lithium battery copper foil, ultra-thick copper foil, shielding copper foil, and RTF reversal copper foil launches lightweight perforated copper foil for lithium batteries Hay:A03NDTB
China’s leading manufacturer of high temperature resistant thermal protection materials,high temperature resistant composite materials,laminated insulating composite materials,PFCP insulating paperboard,UPGM insulating felt board Hay:F04HNHY
A 3D-Printed Ultra-Low Young’s Modulus β-Ti Alloy for Biomedical Applications
China’s leading manufacturer and supplier of electronic thermal management materials: heatsinks,heatpipes,vapor chambers,thermal modules Hay:F03TWCZ
China’s leading photovoltaic AR glass coated with anti-reflection film, high light transmittance photovoltaic glass manufacturer invested in large-size, high-power ultra-thin photovoltaic glass production line Hay:B04CZYM
China leading manufacturer of wind power generator main shafts,ductile iron casting pipe moulds,rotor shafts for large steam turbine and generator,large crank shaft,pressure pipeline, ultra supercritical boiler pipes, pressure vessel forgings Hay:T03SDTY
The leading manufacturer of precision super austenitic stainless steel,duplex stainless steel,martensitic stainless steel, high temperature alloy casting parts and compoments Hay:T02DLFD
Chinese leading manufacturer of automobile suspension system damping components, pedal assembly and high-performance polyurethane load wheels Hay:F01SHKZ
Laird NoiseSorb NS1000 series absorbing materials excel in suppressing electromagnetic interference
Aluminum alloy and magnesium alloy materials with high thermal conductivity, PMH plastic 5G mobile phone material trend is to meet signal transmission and thermal management
Chinese leading manufacturer of PP/Polypropylene meltblown material for non-woven fabric orders increased sharply, net profit increased by 641% in the first half of the year Hay:C03SDDE
Chinese leading manufacturer of aluminum foil plastic composite heavy package bag (film),Aluminum Foil Composite Conductive Baffle Liner,Multi-layer Co-extrusion Conductive Baffle Liner,Aluminum Foil Composite Antistatic Baffle Liner Hay:F08NJHJ
PolyOne and Clariant masterbatches:AVIENT exhibits food contact grade and sustainable thermoplastic elastomer materials at Cekexpo 2020
China’s leading manufacturer of liquid metals, zirconium-based amorphous alloys, and biodegradable medical magnesium alloys releases first-half financial results Hay:H06DGYA
Chinese leading manufacturer of negative refraction slab lens (DCT-plate), holographic imaging technology Hay:F06AHDC
China leading manufacturer of Metalwork Filter Media,PET Support Scrim, PP Melt Blown + PET Composite,Electrostatic-charged Carded Air Filter Media,Carbon Filter Media Hay:F07SHMG
China’s leading copper alloy wire rod manufacturer invests in the construction of a precision copper strip production base Hay:A03JSXH
China’s leading manufacturer of graphene,carbon nanotubes,molecular sieves, Thin-layer graphene nanosheets, graphene water-based conductive paste and silver nanowires Hay:H02NJSF
China’s ultra-thin glass, the 8.5-generation LCD glass substrate manufacturer successfully mass-produced 30 micron/μm thick flexible foldable Ultra Thin Glass Hay:B04AHKS
Covestro launches Maezio®, a thermoplastic automotive lightweight composite material
Manufacturer of Stainless steel wire,Hydrogen annealing Wire,Spring Wire,Special-shaped wire,wire rope,Stainless steel mesh, Stainless steel profiles,Stainless steel round bar,angle bar,flat bar,channel bar,square bar,hexagonal bar Hay:A02JSHF
Shape memory alloys: applications in aerospace
Chinese researchers test Super-durable Ultralong Carbon Nanotubes, which have super fatigue resistance
Global leading manufacturer of OLED materials and monomer liquid crystals Hay:I01XARL
Global leading manufacturer of insulation materials for technical equipment, high-performance foams for high-tech and lightweight applications and next generation aerogel blanket technology Hay:F03DEAR
3D printing metal matrix composite material-liquid metal containing carbon fiber
Characteristics and applications of aluminum-lithium alloy
Chinese scientists have developed a super radiation-resistant carbon nanotube integrated circuit
Danish scientists develop platinum-less catalysts that can be made into cheaper/more sustainable hydrogen vehicles
China`s leading manufacturer of Carbon Fiber, Aluminum Conductor Composite Core (ACCC),Glass Fiber Veils Hay:D02ZGFC
China’s leading manufacturer of brass, tin phosphor bronze copper-based alloy precision strips, phosphor copper plates, phosphor copper precision strips Hay:A03AHJC
China leading manufacturer of wind power flanges,Bearing and Gear Blanks,gas turbine components, Tube Sheet and Discs,Ring Forging,Nozzle,Support Ring for Turbine,Spherical Forging,Main Shaft Forging Hay:T03JSHR
Ultrafine alumina powder material for lithium battery separator coating
The high-purity lithium aluminum alloy used in Mercedes-Benz buses produced by China’s leading manufacturer of high end lithium metal successfully entered the international market Hay:A03QHJK
China has become the world’s largest producer and exporter of rare earth permanent magnet materials
Another Chinese manufacturer of high-performance neodymium iron boron permanent magnet materials and high-performance samarium cobalt permanent magnet materials successfully IPO Hay:F05BTTH
China’s leading manufacturer of thermosetting resin sandwich composite materials and aluminum sandwich composite materials, P honeycomb, aluminum honeycomb structure composite materials, foam sandwich structure composite materials Hay:D02BJHC
Zeus Industrial Products, Inc.the world’s leading polymer solutions provider and material science innovation company, launches polyimide pipes that comply with EU REACH and EU MDR regulations
China’s leading manufacturer of high-purity sputtering targets,high-purity molybdenum/copper/titanium targets,Ultra-high temperature alloy materials,tungsten and molybdenum precision parts and components,INVAR alloys,tungsten and molybdenum wire Hay:A04LY
Chinese leading manufacturer of Polymethacrylimide/PMI structural foam materials Hay:D02HNZH
Following the breakthrough of SEPS/Styrene-ethylene/propylene-styrene block copolymer technology by China’s BL Petrochemical Group, Another Chinese TPES material manufacturer broke through the core technology of SEPS Hay:C03NBCH
China’s top manufacturer of natural mica based pearlescent pigments manufacturer builds extraction method titanium dioxide and iron oxide production line Hay:B08FJKC
Researchers from China and South Korea jointly prepared nano-alloys with high electrocatalytic properties
Solution to the thermal cracking problem of Al6061 aluminum alloy laser melting 3D printing
China’s leading manufacturer of high-temperature alloy, titanium alloy, aluminum alloy, and magnesium alloy forgings invests in a new high-end aviation high-temperature alloy precision forging production line
Chinese leading manufacturer of precision casting parts, CNC machined parts,customized Precision Parts and Components for Textile Machinery,Heat Exchanger,Compressor,Wind Power Hay:T02JSHE
China’s leading petrochemical technology group releases high-density black polyethylene for large-diameter gas pipes Hay:C02SHSH
China’s leading T1100 high-strength and high-modulus carbon fiber manufacturer launches ultra-high-strength carbon fiber Hay:D02JSZF
China’s leading manufacturer of diamond and cubic boron nitride, electroplated metal bond superhard material newly built large-size single crystal,polycrystalline diamond and diamond film production lines put into production Hay:B06ZZML
Research progress of China’s high-strength medium-model carbon fiber reinforced high-toughness resin matrix composites
The Chinese research team developed a piezoelectric-driven nanometer stage, which can achieve nanometer-level precision displacement
Analysis of market size and competitive landscape of global resin-based carbon fiber composite materials in 2020
China’s leading manufacturer of titanium alloy materials, titanium alloy wires (Ti45Nb) for aviation fastener ,NbTi superconducting wires,Nb3Sn superconducting wires and high-performance high-temperature alloy materials Hay:A04XAXB
Hexcel launches new carbon fiber HexTow®HM54 that can be used in golf clubs
The 1 million tons/year high-end rare earth special steel material project invested by China Special Steel Group is fully launched Hay:A02SDXW
The protective performance of the “alumina ceramic body armor” developed by Russia is comparable to steel armor
Toray M55J high modulus carbon fiber composite used in the latest spacecraft mission of the European Space Agency
Toyota cooperates with Japanese scientists to develop material information technology and can customize the required aluminum alloy
LANXESS applies continuous fiber reinforced thermoplastic composites to extrusion blow molding technology
Global leading manufacturer of niobium-zirconium ingots and high-purity niobium ingots Hay:A03ZGYS
The Fifth International Carbon Materials Conference Graphene Forum will be held in Shanghai from November 17 to 20
China’s leading manufacturer of PV module backsheet,Aluminum Plastic Film for Lithium Ion Battery, special protective film:electrical protective film and building material protective film,OE film successful IPO Hay:N04JXMG
China’s leading manufacturer of rare earth materials,optoelectronic thin film materials,rare metal manufacturer build new production lines for ultra-high-purity rare-earth alloy materials, high-purity aluminum nitride ceramics… Hay:B01BJYY
Merck invests 22 million euros to build an OLED material R&D and production base in Shanghai
USAF announced that it has awarded Exosonic, Hermeus and Supersonic supersonic small commercial contracts to jointly develop the supersonic transport aircraft Overture using carbon fiber composite materials
Chinese leading manufacturer of Nano TITANIUM CARBIDE Powder(TiC),ZIRONIUM CARBONITRIDE Powder(ZrC),TITANIUM CARBONITRIDE powder Ti(C,N),Ti(C,N) Matrix Cerment Grinding ball,Ti(C,N) Matrix Cerment Bar, Ti(C,N) Matrix Cerment Plate Hay:B01JLCY
China’s leading non-oriented silicon steel and magnetic alloy manufacturer successfully developed core materials for high-performance electromagnetic switches Hay:F05LNAG
Application of Chopped Carbon Fiber in Brake Pads
The carbon fiber composite heating element market will reach 595.4 million U.S. dollars in 2026
The MXene-CNT composite membrane developed by Chinese researchers captures 99.8% of gold from a very low concentration gold solution
China’s leading manufacturer of thin carrier tape for electronic component packaging has invested in the construction of MLCC release film: optical grade BOPET film, CPP protective film production line Hay:I04ZJJM
Chinese leading manufacturer of Acrylic Tape,Silicone Tape,Ultra Thin Tape,Embossed Tape,Thermal Conductive Tape,Electrical Conductive Tape,Removable Tape,Chemical Resistance Tape,Shock Absorbtion Tape,Flame Retardant Tape Hay:F03SZSH
Chinese leading manufacturer of FFC/PET/FFC Double-sided Coating Solar Backsheets,ransparent/Transparent Mesh Backsheets,KFB/TFB/KPK /TPT Double-sided Fluorocarbon Backsheets Hay:N05SZZL
China’s leading modified polymer materials: high-temperature materials PPSU, nylon and PEEK manufacturers acquired Samsung Liquid Crystal Polymer (LCP) technology, LCP material production capacity and market share achieved China’s first Hay:C02SZWT
China has achieved breakthroughs in new high-temperature materials, and Chinese ceramic fiber manufacturers released alumina fiber reinforced composite materials and prepregs and structural parts Hay:B01SHRR
SABIC cooperated with Elkamet Kunststofftechnik GmbH to introduce SABIC LEXAN polycarbonate (PC) resin into lighting applications
China’s leading manufacturer of low oxygen bright copper rod builds precision copper connectors production base Hay:A03SZZW
China’s High Elevated 3D-5 Axis CNC Laser Cutting Machine Tool manufacturer won China Quality Award Hay:Y01SZDZ
China’s leading high performance ceramic fiber manufacturer realizes commercial production of alumina continuous fiber Hay:B01SHRR
China’s leading manufacturer of ultra-wide graphene high thermal conductivity film, ultra-thin / ultra-thick artificial graphite high thermal conductivity film Hay:F03AHTH
Nissan has made new breakthroughs in the production process of carbon fiber [Carbon Fiber Reinforced Polymer: CFRP] parts, which will shorten development time
Effect of Dual Annealing on Microstructure and Properties of BT25 Titanium Alloy
Chinese leading manufacturer of Precision Metal Injection Molding/MIM parts and components:notebook-hinge, 3C precision parts, digital camera holders and concave cams, surgical instruments, brake parts, turbocharger parts, micro gears Hay:T05TWXR
CuCr and copper-tungsten supplier Hay:D04XASR
China`s leading manufacturer of biodegradable plastics PBAT/PBSA/PLA invests in a 60,000-ton PBAT project, 70% of which will be sold to the international market Hay:C03GZJF
BBG releases self-closing HP-RTM mold concept to achieve modular mass production of integrated CFRP hydrogen storage tanks
The shape memory polymer intelligent composite material developed by China is helpful for the development of “softer” spacecraft related research to realize intelligent control
China’s leading manufacturer of High performance polymer materials:PA10T/PA6T, LCP, PPS, PPSU/PES, PAEK, NMT cooperate with ExxonMobil to develop new high-impact PP copolymers Hay:C02GZJF
China’s leading ultra-high modulus glass fiber manufacturer brings ultra-high modulus wind power yarn E9 series products to China Composites Expo 2020 Hay:D01JSJT
The combination of graphene oxide rGO and aramid nanofibers to form composite materials can improve the performance of structural supercapacitors for electric vehicles
Nemesis Yachts launches full carbon fiber composite hydrofoil luxury yacht
Highly Crystalline Graphene Fibers with Superior Strength and Conductivities by Plasticization Spinning
GeoTree Solutions and Owens Corning sign an agreement to distribute Aslan™ 200 carbon fiber reinforced polymer CFRP ribs
Chinese leading manufacturer of Precision aluminum alloy die casting, zinc alloy die casting, 5G base station aluminum alloy heat dissipation structural parts Hay:T02SHSF
Chinese leading manufacturer of micro precision shafts: CD-ROM Shafts, Motor Shafts, Car Audio Shafts, Worm Shafts, Braking Shafts, Automotive Oil Pump Shafts, Precision Parts, Valve Body, Wiper Shafts, Throttle Shafts Hay:U02DLMD
Solvay cooperates with British auto parts company Penso to help composite parts be applied to Mercedes vans on a large scale
International and China self-lubricating bearing industry analysis
Schaeffler and Bosch establish a cooperative relationship in the field of rear-wheel steering
Chinese leading manufacturer of precision machining parts, precision sheet metal parts for rail transportation equipment,medical equipment,imaging equipment,semiconductor equipment, financial equipment,various precision industrial equipment Hay:T01CZWT
Chinese leading manufacturer of The lined bimetal composite pipe,The inner-clad (metallurgical) bimetal composite pipe Hay:D04XAXY
Honeywell 3D printed nickel-based superalloy double-wall structure to achieve better cooling of the burner
Optical lens 3D printing Luxexcel company develops next-generation smart glasses lens mass production technology
China’s leading manufacturer of high-aluminum electronic glass, ultra-thin and ultra-clean glass photovoltaic glass substrates invested in a 1200t photovoltaic module high-transmittance substrate material production line Hay:B04CZQB
RPF Composite Materials launches new product of unidirectional glass fiber prepreg
Development and application of hard-to-deform superalloy materials in aerospace
China’s leading manufacturer of ultra-fine grain vanadium-containing seismic steel, high-purity metal vanadium, titanium alloys and titanium refined powder Hay:A04SCDS
Total and Corbione announce that they will build the first world-class PLA bioplastics plant in Europe
China’s leading high-strength aluminum sheet, aluminum strip, and precision aluminum foil manufacturer’s high-strength aluminum alloy automotive sheet production line officially commercialized production Hay:A03GXNN
Borgwarner’s iDM integrated electric drive module makes electric vehicles more compact
Glass fiber reinforced composite materials, carbon fiber reinforced composite materials market development
China’s leading window touch protective glass panel manufacturer achieves sustainable development by upgrading 3D curved glass, focusing on curved glass polishing, curved glass bending forming, and curved glass coating technology Hay:B04HNLS
China’s leading manufacturer of high-strength and high-toughness magnesium alloy materials and Israeli materials scientists jointly develop new high-strength and toughness 3D printed magnesium alloy materials Hay:A03XAXH
China’s leading Injection Molding Soft Skin (IMSS) TPE material, modified reinforced plastic manufacturer launches anti-warping glass fiber reinforced polypropylene material Hay:C03SDDE
Chinese leading manufacturer of Bimetal/extremely hard wear material cast hammer, wear-resistant and high strength alloy mining parts, bimetal composite casting,manganese bainite low alloy casting Hay:T02SXHD
With a total investment of 5 billion yuan, China’s high-performance carbon fiber composite structure project started construction Hay:D02JSXC
WACKER launches DEHESIVE® PSA 84X series solvent-based silicone pressure-sensitive adhesives at APFE
Global leading tungsten and molybdenum alloy parts and components supplier of GE and Siemens Hay:A04SHLJ
Chinese leading manufacturer of fine spherical aluminum powder and aluminum pigment Hay:A03CSZX
China’s leading ultra-thin glass (UTG) manufacturer releases the world’s thinnest 0.03 mm flexible folding glass Hay:B04AHKS
China’s leading glass fiber filter material, PTFE membrane filter material manufacturer released high-efficiency glass fiber filter paper Hay:F07NJBX
Chinese manufacture of high wear-resistant self-lubricating elastic metal plastic composite bearings,self-lubricating joint bearings,bimetallic self-lubricating bearings,copper alloy inlaid self-lubricating bearings, and composite bearings Hay:U03DLSH
Chinese leading manufacturer of Scintillation crystal material: sodium iodide, lanthanum bromide, cesium iodide, BGO scintillation crystal Hay:B06ZCJT
French supercapacitor company Nawa Technologies triples the density of lithium batteries
Chinese leading manufacturer of High Manganese Steel Parts,High Chrome Cast Iron Parts,Carbon and Alloy Steel Parts,Copper Alloy Parts,Parts with Inserted Tungsten Hay:T02CQHD
Global leading manufacturer of Acoustic Absorption materials,Sound Absorption Materials and structural parts Hay:F02HKKE
China will host annual highest-end composite materials academic summit
Chinese leading manufacturer of Tellurium Copper,Selenium-bearing Copper,Tin-Bronze,Pure-Copper,High Purity Tellurium,Bismuth,Bismuth Oxide,Antimony,Selenium,Cadimium,Indium Hay:A03SCXJ
Silicon carbide ceramic membrane: a new type of separation membrane that is expected to replace various inorganic membranes
U.S. Air Force supersonic transport aircraft will use carbon fiber composite materials
Chinese leading heavy duty die cutting tools supplier Hay:T10FJHE
After obtaining a cobalt-free tungsten carbide (WC)-based hard metal patent, Desktop Metal received US$2.4 million to develop a new 3D printing process for the US military
BASF, sodium-sulfur battery manufacturer NGK Insulators, Northvolt, InoBat Auto, vanadium flow battery manufacturer VRB Energy, Standard Lithium company’s progress in energy storage battery raw material projects
Carbon nanotube technology will promote faster development of battery innovation technology Hay:H02JSTN
Chinese leading manufacturer of Color stainless steel decorative board/sheet:Stamped,Mirror Finish ,Hairline Finish,Etched Finish,Embossed Finish,Bronzed Finish ,Vibration Finish,Sand Blasting Finish Stainless Steel sheet Hay:A02GKB
The aerospace precision casting market is becoming more and more concentrated
China’s leading manufacturer of large size isothermal die forgings: power machinery forgings, metallurgical machinery forgings, large gears, precision forgings for marine engineering Hay:T03HNZJ
Solvay and Flybotix collaborate to develop carbon fiber composite drones:Solvay’s ultra-lightweight and strong thermoplastic carbon fiber composite material doubles the flight time of ASIO drones
Super fast charging in 15 seconds, super capacitor manufacturer Skeleton Technologies wins 1 billion euro graphene battery order
Penetrate the EUV photoresist market of JSR and Shin-Etsu,Fujifilm Holdings and Sumitomo Chemical will start supplying EUV photoresist for next-generation chip manufacturing as early as 2021
Chinese leading manufacturer of Rare Earth Permanent Magnets:Sintered/Bonded NdFeB Magnets,Special Alloys:Master Superalloys,Precision Alloys,Special Alloy Strip,Precision Strip for Electronics Hay:F05BJAT
Chinese leading manufacturer of Hollow Fiber Concrete Diaphragm, Inner-Support Composite Curtain Membrane, Hollow Fiber Concrete Membrane Module, Standardized Membrane Reactor Hay:F07ZJKC
The magnesium alloy steering wheel skeleton and magnesium alloy precision die casting project invested by China’s leading magnesium alloy and aluminum alloy precision casting manufacturers started Hay:T02NJYH
Application of molybdenum and molybdenum alloys
Tungsten copper heat sink material: composite material of tungsten and copper Hay:D04GDRC
2020 China Jinan New Materials Capital Technology Conference opens
Ex cell Bio has completed nearly 100 million yuan in financing, and strives to build “China’s Gibco”!
Mallinda develops a new composite material that combines the advantages of thermosetting and thermoplastic properties
Relying on the bio-based plastic Roechling-BioBoom technology, Roechling Industrial became the first company in the market to have patents for bio-based materials based on polylactic acid (PLA)
Chinese leading manufacturer of III-V semiconductor substrate materials: gallium arsenide crystal and substrate, indium phosphide substrate; refrigerated and uncooled infrared lens: fixed focus lens,continuous zoom lens,dual field of view len Hay:I04YNZY
Chinese researchers found that there is a StrongMetal-Support Interaction between the transition metal catalyst and the inert hexagonal boron nitride (h-BN) carrier
China’s leading styrene-based thermoplastic elastomer (TPES) manufacturer builds a new fully biodegradable thermoplastic PBAT/PBS/PBT industrial park project Hay:C03NBCH
China’s leading manufacturer of precision casting and precision machining parts and components for compressor industry Hay:T02HZLD
China’s leading manufacturer of high-purity arsenic, high-purity antimony, high-purity bismuth, and high-purity tellurium successfully mass-produced high-purity arsenic with a purity of 7.5N Hay:A01SDHB
Chinese leading manufacturer of SOI chips:SIMOX (oxygen injection isolation), Bonding (bonding) ,Simbond and Smart-cut Hay:I01SHXA
Chinese leading manufacturer of silicone feel coating, 3D glass photosensitive ink, PVD coatings, UV colored paint, waterborne coatings Hay:S07HNSJ
Thermoplastic carbon fiber composite materials will become ideal raw materials for rail vehicles
Global 3D printing leader, Stratasys subsidiary MakerBot launches nylon 12 carbon fiber composite
Chinese leading manufacturer of precision stamping structural parts, LDS plastic precision structure parts and components for optical cameras, acoustic equipment Hay:T01NBBL
Under the “plastic ban”, biodegradable materials welcome investment, and Chinese manufacturers of fully biodegradable thermoplastics are vying for this “blue ocean”
China’s leading titanium alloy precision forging parts manufacturer expands high-end titanium alloy precision forging parts production lines Hay:T03SXDL
Room-temperature superconductivity has been achieved for the first time
ePTFE breathable membrane supplier Hay:F07JSFY
Global leading manufacturer of HD target,ODS target,SEMI O.S.D & package target,PSC target Hay:A01TWGY
Insight 1: The 26th China International Composites Industry Technology Exhibition
China’s high-strength ultra-thin steel plate manufacturer successfully developed 1.0mm ultra-thin pattern steel plate Hay:A02HGTG
Graphene nanoribbons wires laying the foundation for carbon-based transistor computers
The leader of Chinese carbon nanotubes gradually gains the favor of the international market Hay:H02JSTN
The lightweight and high-strength carbon fiber flooring project for standard EMUs developed by a Chinese manufacturer of high-performance carbon fiber composite structural parts won the first prize Hay:D02WHGW
The low-density ablation and heat-proof materials are used to insulate the astronauts when the spacecraft returns to the earth, the temperature outside the cabin is as high as 2,000 degrees Celsius
MAHLE and Ballard Power Systems Inc. cooperate to develop fuel cells for cars
Airbus will collaborate with ElringKlinger to develop fuel cell technology
China’s leading precision structural parts manufacturer provides precision structural parts for Apple iPhone12 Hay:T01SZFC
Chinese leading manufacturer of Heat Sink Frame for Telecom, Smart Combiner Chamber, precision stamping structural parts, precision ceramic parts Hay:I04SZDS
China’s leading manufacturer of advanced assisted driving safety (ADAS) optical lenses has two products certified by Mobileye Hay:F06JXLC
Chinese leading manufacturer of 6 inch silicon carbide semiconductor epitaxial wafer Hay:I04XMHT
China’s high-precision electroplated diamond wire industry standard setter Hay:B06CSDL
Provide a full-process solution that combines increase and decrease materials, GF Asia’s first AMotion Center officially opened in China
AM-Flow receives US$4 million investment, 3D printing automation gets capital blessing again
Binder jet metal 3D printer, Digital Metal marches towards mass production era
Chinese high-end optical material manufacturer invests in the construction of graphene thermal film production lines Hay:F03ZJDM
Global high-purity rare metal producer 5N Plus announced the launch of new metal powder products for 3D metal printing
Leading Chinese flexible circuit board company expanded FPC production capacity, and the proportion of automotive and industrial control business will increase to 30% Hay:I04XMHX
Evonik launches a new 3D printing brand INFINAM®
The Chinese porous ceramics supplier uses high-performance silicon carbide porous ceramic technology to develop a new water jacket heating furnace combustion system to achieve ultra-low nitrogen emissions Hay:B03GDSS
German Textile reinforced composite material made of glass, carbon and aramid fiber manufacturer SAERTEX and Safran signed a cooperation agreement for carbon fiber fabrics for aircraft
Innoviz`s new lidar costs have dropped by 70%, and mass production is expected in the fall of 2023
Tesla’s precision aluminum alloy auto parts supplier’s net profit in the first three quarters increased by 70% year-on-year Hay:T02NBXS
China’s leading manufacturer of fourth-generation ultra-wide band gap semiconductor aluminum nitride wafer substrate material, silicon-based/sapphire-based aluminum nitride film template Hay:I01HZAQ
Nippon Metallurgical Industry Co., Ltd. independently developed “NAS 355N” nickel-based alloy for the first time
Chinese leading manufacturer of High-speed and high-density PCB for 5G equipment,copper clad laminate successfully IPO Hay:I04DGSY
KRAIBURG TPE® The innovative thermoplastic K series TPE is suitable for dynamic diving equipment
Carbon,the Ultra-high-speed light-curing 3D printer and material manufacturer cooperates with chemical giant Henkel to introduce Henkel’s Loctite resin into Carbon’s Digital Light Synthesis (DLS) system
Chinese silicon carbide (SiC) chip manufacturer releases 6-inch silicon carbide MOSFET (metal oxide field effect transistor) wafers Hay:I01SHZX
LG Chem successfully developed a transparent biodegradable material with 100% biological content
Chinese leading manufacturer of PVDC Coated cellulose film,MS Coated cellulose film,cellulose tape,Printing cellulose film,Flat cellulose film Hay:F08SDZK
China top ITO conductive film, high-transmittance optical conductive film manufacturer successfully IPO Hay:F04JSRJ
Chinese leading manufacturer of raw white meta-aramid staple fiber,solution dyed staple meta-aramid fiber,meta-aramid filament,Conductive meta-aramid fiber Hay:D01YTTH
An effective way to solve the brittleness of ceramics:Nano composite ceramics
Chinese leading manufacturer of Precision Air Conditioning Fin Die,Micro-Nano Manufacturing &Ultra-Precision Machining parts and components Hay:T10WXWY
The “Key Technologies of Automotive Hot Stamping Materials and Parts” developed by China’s precision stamping die technology company won the first prize of “China Metallurgical Science and Technology Award 2020” Hay:T10NBHL
Pyromeral develops high modulus carbon fiber, silicon carbide fiber and alumina fiber reinforced glass ceramic matrix composite materials: PyroKarb, PyroSic and PyroXide materials [PyroXide uses 3M Nextel alumina fiber]
Mirror surface stainless steel strip supplier Hay:A05SXTG
Another Chinese technology company realizes commercial production of proton membrane materials for fuel cell stacks Hay:N02WHLD
Sony will provide OLED microdisplay for Apple glasses
The “flame retardant and anti-explosion fuel tank and aircraft fuel tank” project developed by China’s leading carbon fiber and aramid fiber reinforced composite material manufacturer won the third prize Hay:D02JSXS
Chinese leading manufacturer of surface mounted quartz crystals and oscillators,piezoelectric quartz crystal component Hay:I01GDHL
Global leading manufacturer of Magnetic fluid for speakers, actuators, sensors, recycling separation applications Hay:F05HZDH
Chinese leading Beryllium bronze alloy supplier and manufacturer Hay:A03ZZQY
Chinese leading capacitor grade tantalum wire,molybdenum thin strip manufacturer and supplier Hay:A03ZGYS
Chinese leading Zr-Cu-Ni-Ag-Y amorphous alloy precision die-casting supplier and manufacturer Hay:H06DGYA
Second to Futamura Cellophane Film,Chinese top Colored cellophane film manufacturer and supplier Hay:F08SDHL
Chinese leading copper-aluminum bimetallic composite supplier creates a new lightweight solution Hay:D04YTFX
China Top Large Size Seamless Ring Rolling Forgings Manufacturer and Supplier Hay:T03SDYL
China leading amorphous alloy strip manufacturer and supplier Hay:F05QDYL
China leading graphite/carbon-matrix C/C material with Anti-oxidation silicon carbide nano mirror coating manufacturer and supplier Hay:D05HNDZ
China leading copper/molybdenum/copper sandwich structural composite material manufacturer and supplier Hay:D04JSDQ
China leading complex structure thin-wall precision-molded aluminum alloy manufacturer and supplier Hay:A03XACJ
China leading Titanium alloy matrix steel composite tube sheet manufacturer and supplier Hay:D04NJBT
China top aluminum-matrix composite materials manufacturer and supplier Hay:D04JSYB
China top copper steel and steel stainless steel,brazing aluminum clad composites manufacturer and supplier Hay:D04JSYB
China leading Brass Strip, copper alloy wire manufacturer and supplier Hay:A03AHXK
TRB lightweight structure company’s breakthrough solution can mass produce thermoset composite parts
Chinese researchers have made progress in the research of high-performance electromagnetic shielding materials
Visit the world’s leading supplier of lithium battery foil Hay:A03SZLH
China’s precision aluminum alloy structural parts manufacturer successfully developed free-cutting bismuth-containing aluminum alloy materials Hay:A03GDJM
Chinese leading manufacturer of Precious metal nano materials, flexible circuit conductive silver paste, conductive silver glue Hay:F04BJHB
Samsung collaborates to develop a new architecture for OLED displays that can achieve a resolution of 10,000 PPI
China’s leading manufacturer of electromagnetic shielding and thermal conductive materials builds a new production base for smart power supplies and special radiators Hay:F05SZRF
China’s largest copper wires manufacturer builds a new production base for precision copper wires and flexible copper clad laminates Hay:A03SZZW
New composite material integrating the advantages of thermosetting and thermoplasticity
Development Status of International Titanium Alloy Drill Pipe
MiniLED products from China’s AM-Micro LED display technology leader have entered the Nintendo Switch supply chain
The development trend of the China superalloy material market
Based on VICTREX AE 250 UDT (PAEK) thermoplastic composite materials and AFP technology, Victrex and DAHER jointly develop the next generation of thermoplastic aircraft thickened wall panels
Application of Nano Conductive Silver Paste in Flexible Printed Electronics
FCA subsidiary CpK develops graphene and TPU composite car interiors
China’s first international standard in the field of nuclear power officially released ISO 23466:2020
China Academy of Advanced Materials and IMA DRESDEN jointly build a professional testing, evaluation and certification platform for Carbon fiber composite material
The latest research progress of boron nitride as an electrical insulator material
Development and application prospects of carbon fiber composite aviation seats
Lycra launches innovative denim product Lycra® non-slip fiber
China’s leading hydrogen fuel cell membrane electrode MEA manufacturer Hay:N01WHLG
The new production line of China’s leading neutral borosilicate glass manufacturer successfully put into operation Hay:F08AHKS
Chinese metal alloy electromagnetic shielding film manufacturer innovated and developed micro-needle electromagnetic shielding film Hay:F05GZFB
China’s leading acrylic fiber technology company successfully developed the ultra-high shrinkage acrylic fiber product Hay:D01ZGSY
The heat-insulating rare earth glass coating developed by Chinese rare earth material technology companies can save energy by 25%-40% Hay:M02BTXT
Research progress of ballistic materials such as aramid fiber, carbon fiber, ultra-high molecular weight polyethylene fiber
China’s leading manufacturer of precision parts and fixtures builds a new magnesium alloy, aluminum alloy precision parts and Turbocharger partsproduction base Hay:T09WXBS
High-power optical amplifier and fiber laser manufacturer Lumibird Group’s laser business revenue declines severely
UAES [Robert Bosch China’s joint venture] first self-developed electric bridge product EAU100 rolled off the assembly line
Airbus subsidiary SATAIR provides certified metal 3D printed aviation spare parts
Chinese silver nanowire transparent electrode and silver nanowire ink manufacturers showcase new products at the Advanced Materials Expo Hay:H02SZHK
Amorepacific uses Dow INNATE™ TF-BOPE to make recyclable packaging materials
Global leading manufacturer of precision optoelectronic thin film components,biometrics, thin film optical panels, reflective materials Hay:I06ZJSJ
China’s high-end industrial aluminum profile manufacturer expands from construction to transportation and electronics industry Hay:T06GDJM
Chinese ultra-thin steel plate technology company successfully developed 0.06 mm steel foil for Toyota new energy vehicles Hay:A02SDXM
Chinese top manufacturer of industrial robot, collaborative robot, mobile robot, special robot and service robot Hay:R10SYXS
The first heavy-duty truck gearbox housing produced by 3500T die casting machine rolled off the production line
Global leader in sunscreen and fragrance and fragrance manufacturing continues to expand
Chinese leading manufacturer and supplier of SPI NOR Flash,SPI NAND Flash,MCU and optical fingerprint chip Hay:I06BJZY
Chinese electronic materials technology company is developing ArF (193nm) photoresist Hay:I03JSND
China`s T1000 grade carbon fiber technology breakthroughs boost the development of China’s aviation industry
INDEX CNC lathe has always been committed to high efficiency and high precision machining
Rheinmetall`s environmental protection steam pump order set a new record, with a total order exceeding 1 billion euros
China’s high-performance alloy material technology enterprise builds a R&D pilot base Hay:A04BJGY
China’s leading exoskeleton robot technology innovation company receives 100 million yuan investment Hay:P01SHAS
The new resin developed by LLNL expands the scope of 3D printing, enabling the printing of biological stents and electronic devices
Japan’s Showa company launches carbon fiber composite drive shafts for light vehicles
China’s leading manufacturer of lithium battery precision structural parts plans to invest in Hungary to build a production base Hay:T02SZKD
The world’s leading manufacturer of micro-turbine fans for ventilators has received nearly 100 million yuan in investment Hay:U01HZBF
China’s leading RTF copper foil, HVLP copper foil manufacturer presided over the revised copper foil standard was approved and released Hay:A03TGTB
LG Chem has developed a 100% biodegradable biomaterial with the mechanical strength and transparency of synthetic resins such as polypropylene (PP)
Volvo Group and Daimler Trucks will establish a joint venture to develop and produce fuel cell systems
Mophie launches non-Newtonian fluid D3O antibacterial protective case to provide comprehensive protection for iPhone12
The development status of high-performance polymer composite materials:Carbon fiber, para-aramid fiber, glass fiber in China
Plastics Omnium and ElringKlinger form a hydrogen fuel cell battery joint venture
Explore the TRUMPF Laser Application Center, interpret the green light 3D printing technology and 3D printed copper parts
International cutting-edge medical equipment manufacturer: GE Healthcare joins manufacturing in China
The smart phone-to-board connector (BTB) products developed by the Chinese miniature electronic connector manufacturer have been applied to internationally renowned customers in batches Hay:F04GDDL
The continuous growth of the demand for the glass fiber reinforcement industry and the forecast of future trends
China’s leading BOPET film (optical grade polyester film) and CPP (cast polypropylene film) protective film manufacturer expand production capacity Hay:C04ZJJM
The world’s leading provider of AI 3D perception technology solutions:3D sensing camera, 3D sensing chipsbringing a new generation of facial payment products to the Fintech Conference Hay:I06SZOB
China’s largest silicon-based gallium nitride power device manufacturer’s new 8-inch gallium nitride wafer project will be trial production Hay:I06ZHYN
Hitachi’s metal magnetic materials and power electronics business sales decrease
Michelin brings honeycomb-shaped concept tires uptis:
Nidec and Huayu Magna high-voltage electric drive system assemblies have been deployed in the European market
China’s leading infrared thermal imaging chips technology leader attends the 2020 Biometrics Forum, focusing on innovative applications of infrared thermal imaging Hay:I06WHGD
Carbon fiber composites leader Sigmatex and global specialist in carbon fiber colorization technologies-Hypetex collaborate to launch color carbon fiber solutions
China’s leading hemodialysis membrane and hemodialyzer technology company increased investment in the field of blood purification Hay:P06GDBL
China’s RF device and mobile terminal device technology company has achieved global leadership in the field of 5G metal and ceramic filters Hay:I06SZDF
Global leading manufacturer of advanced packaging materials Investing in China to build an etched and stamping LED Leadframes production base Hay:I04HKAS
The University of Tokyo develops new high-heat-resistant bioplastics that can be used to make auto parts
0.2mm thick, Murata mass-produces the world’s ultra-thin MLCC multilayer capacitors
Medtronic showcases leadless & MRI Safe Micra Pacemakers at 2020 CIIE
Cadillac V series BLACKWING models bring breakthroughs in the application of forged and spinning magnesium alloy wheels
Danaher brings its four strategic platforms to the third CIIE
China’s fire test valve and high-pressure fire valve technology company create innovative products to win the market Hay:U05NBHC
Medtronic’s new spine surgery robot Mazor X StealthTM Editio unveiled at 2020 CIIE, the industry standard will be reshaped
China Aramid Material Technology leader and CRRC successfully jointly developed high-performance aramid insulation paper materials in the field of rail transit Hay:D01ZZSD
Chinese researchers won the Ross Coffin Purdy Award for the first synthesis of Sm-doped Pb(Mg,Nb)O3-PbiTiO3 piezoelectric ceramics [ferroelectric ceramic materials]
Evonik and HP collaborate to develop thermoplastic elastomers: Flexible high-performance specialty powder based on thermoplastic polyamide (TPA) for Multi Jet Fusion 3D printing technology
China’s leading vinyl chloride vinyl acetate terpolymer resins and vinyl chloride terpolymer emulsion for ink,coating, plastic processing (including colour chips, recording granules), magnetic record materials, adhesion Hay:C02WXHH
China’s leading manufacturer of aluminum alloy frame car seats, carbon fiber composite aviation seats Hay:Q03ZJTC
University of Tokyo develops new high-heat-resistant bioplastics that can be used to make auto parts
Solvay enters exclusive negotiations with Composites One to divest its craft materials business
China’s leading manufacturer of automobile transmission casting cases expands the product range of precision casting products according to the needs of the international market Hay:T02ZJWL
China’s largest radiopharmaceutical and cobalt source manufacturer has reached a strategic cooperation with GE Healthcare to jointly promote the clinical trials of new radiotracer injections in China
Customized laser micromachining solutions provided by China’s leading micromachining laser technology company to meet the diverse needs of customers
China’s leading micro heat pipe array technology company unveiled micro heat pipe array super thermal conductive material and lithium battery pack thermal management system technology Hay:F03SDBY
10909 meters! China’s “Struggle”manned submersible uses titanium alloy material to set the deepest diving record
Chinese researchers have successfully developed surface anti-corrosion technologies for copper materials of various scales from copper foil to copper nanowires and copper nanoparticles
Why do hot runner nozzles use titanium zirconium molybdenum alloy (TZM) material
The new production line of a Chinese manufacturer of magnesium alloy forging and magnesium alloy semi-solid die casting is put into operation Hay:T02GDZM
The newly-built photodetector special chip factory of China’s high-end infrared detector chip technology company officially puts into operation Hay:I06SHLH
Chinese researchers have made new progress in rare earth element-doped hydrotalcite-like electrocatalysts
China’s only nuclear/non-nuclear grade zirconium and hafnium material manufacturer receives 100 million yuan investment Hay:A04SXXG
Chinese leading carbon fiber composite technology company tailored lightweight solutions for Polestar 1 Hay:D02JSHR
China’s leading precision casting turbine parts and components for turbochargers of ABB, Bosch Mahle, BorgWarner, Cummins, Continental, Mitsubishi Hay:T02WXFN
Chinese leading manufacturer of air filtration equipment and clean air solutions for clean room air purification and HVAC system air purification Hay:F07NJMA
Personal protective equipment to fight the COVID-19 virus, Germany’s Air Estetic all-in-one medical personal protective system unveiled at 2020 CIIE
SiC will open a new era
China’s leading manufacturer of 5G baseband chips, AI chips,SoC chip and RF chips Hay:I06SHZG
“King of transparent ceramics”-laser ceramics: Nd:YAG (neodymium yttrium aluminum garnet) single crystal and neodymium glass
Chinese leading manufacturer of High layers and HDI PCB for Communications networking, smart Devices, automotive products Hay:I04HNAS
Global leading manufacturer of high purity copper,aluminum,titanium,molybdenum thin film sputtering target Hay:A01JPUL
The global market for gallium nitride and silicon carbide power semiconductors will exceed the $1 billion mark in 2021
Momentive spends USD 13 million to increase the production capacity of polyurethane additives in Italy
The Vacuum Insulation Pannel Competitor of Johns Manville Hay:D01CQZS
Chinese leading manufacturer of Dynamic 3D film,Flexible functional optoelectronic film,Ultra-fine flexible transparent conductive film Hay:F06JSSY
Naked vision 3D smart film developed by Chinese technology company solves 3D industry problems Hay:F06SZLT
China’s leading aluminum sheet manufacturer successfully realized commercial production of 2100mm ultra-wide cast-rolled aluminum sheet Hay:A03GSJG
China’s leading manufacturer of thermoplastic polyurethane elastomer materials and Huntsman jointly develop TPU transparent automotive paint protective film Hay:C04NTNK
BRM company develops advanced textile products: electronic webbing and TPCM thermoplastic material series products to enhance the functionality and practicality of parts
Covestro expands production of Vulkollan raw materials in Thailand in response to high-performance [extremely high mechanical strength and wear resistance] elastomer demand
South Korea strengthens the process of localization of semiconductor materials, parts and equipment, attracting foreign companies to enter South Korea
Chinese chip packaging and testing company ASE Global enters Sony’s CMOS image sensor back-end supply chain
Training high-strength aluminum alloys to with stand fatigue
Together with GTAT: GT Advanced Technologies, a manufacturer of crystalline silicon carbide rods, Infineon expands the supply of silicon carbide chips to seize the industrial and automotive markets
China EGR valve Technology Corporation provides high-flow natural gas nozzles and natural gas injection systems for Bosch diesel systems[RBCD] Hay:Q01WXLS
China’s leading manufacturer of magnesium-aluminum alloys, ceramics, glass, titanium alloys, and MIM precision parts plans to sell its Cover Glass business for US$1 billion Hay:T09SZZN
Chinese metallurgical roll manufacturer successfully developed large-diameter super wear-resistant steel roll ring for international customer Hay:T03XTZG
Apple`s supplier, Chinese leading manufacturer of RF antennas, MIM CIM precision metal parts and connectors Hay:I06SZXW
China’s leading aramid polymer monomer and polyether ketone ketone PEKK product manufacturer successfully IPO Hay:C02SDKS
China Aluminum Foil, Aluminum Material Company provides battery special aluminum alloy casing and positive current collector (battery aluminum foil) for BYD blade batteries Hay:A03JSCL
The perfluorinated proton exchange membrane production line invested by the supplier of Mercedes-Benz and Ford was successfully put into operation
China’s leading manufacturer of precision parts for aero engines, impellers, blisks, shafts, and casings Hay:T09XASR
Application of porous C/SiC ceramic matrix composite perspiration material and porous SiC foam ceramic material in space engine
Expands the capacity of carbon matrix composite materials Hay:F03HNJB
Chinese ring forging manufacturer produced the world’s largest stainless steel seamless forging ring Hay:T03SDYL
Chinese leading manufacture of Precision stainless steel pipes Hay:A02ZJSS
INVISTA increases annual production capacity of [PA66, hexamethylene diamine (HMD), and adiponitrile (ADN) polymers in China
Scania will build a plant in China
Wearable smart clothing with liquid metal stretchable circuit developed by US Liquid Wire passes washing test
Celanese launches bio-based copolyformaldehyde product POM ECO-B
China’s leading manufacturer of precision forgings,casings, blades and ring for aero engine and gas turbine Hay:T03ZGHF
Chinese leading manufacturer of precision miniature shafts, Wiper Shafts, High Pressure Pump Plug, EPS Steering Shafts, PistonThrottle Shafts, Air Compressor Shafts precision components Hay:T09DLDM
Global leading manufacturer of optical crystal: LBO, BBO crystal, Nd: YVO4 crystal Hay:F06FJFJ
Materialise develops a new method of 3D printing powder [Bluesint PA12] with a reusable rate of up to 100%
The National Standard of Gallium-based Liquid Metal compiled by China Liquid Metal Materials Technology Corporation won the first prize Hay:H06YNYT
The panoramic camera carbon fiber composite turntable developed by China Robotics Technology Corporation helps the Chang’e-5 probe complete the lunar surface detection Hay:R10ZKSY
The manufacturer of Heavy Steel Forged Seamless Rolling Ring in China successfully developed super large forgings Hay:T03SDYL
China’s magnesium alloy die castings and three automakers jointly develop thin-walled complex magnesium alloy die castings for automobiles Hay:T02ZJWF
Thermoplastic honeycomb sandwich material supplier EconCore and Audi and other companies jointly develop thermoplastic composite battery shells for vehicles
Chinese leading manufacturer of Large die forgings: super large titanium alloy, super strong steel and structural steel, high temperature alloy, aluminum alloy forgings Hay:T03ZGEZ
The global leading manufacturer of injection-molded packaging has developed a leak-proof and safe lid suitable for coffee delivery Hay:T07USTM
Ten thousand-meter-grade buoyancy materials and high-strength titanium alloy Ti62A provide support for China’s
Casappa participates in BAUMA CHINA 2020 with new hydraulic pumps and motors
China Ceramic Matrix Composite Technology Corporation provides large supporting ceramic matrix composite structural parts for Chinese spacecraft Hay:D05SHGS
Chinese leading high precision machined parts, components and moulds manufacturer Hay:T09SZKR
Global Connectors leader Smiths Interconnect Releases Volta 180 Series Probe Heads to Improve the Performance of Wafer Test Solutions
Samsung,Bosch-SIEMENS,AO Smith,Whirlpool,Electrolux,Hörmann VCM metal sheet and PCM metal sheet supplier Hay:D04JSLB
Continuous silicon carbide fiber reinforced SiC composites Hay:D05XAXY
Chinese leading manufacturer of adhesives, electronic soldering materials, cleaning agents, material surface treatment agents Hay:I03GDUB
Ultra-thin bimetallic composites contact Material has developed AgMe contact materials Hay:F04WZHF
PPG acquires American paint manufacturer Ennis-Flint
Toyoda Gosei invests in Ball Wave Inc. to jointly develop UVC LED sensors
China’s leading magnesia refractories and heat storage materials manufacturer Hay:F03LNZM
Merck cooperates with Optitune and Solip to launch liviFlex brand Free form/foldable panel material
Chinese Advanced ceramic materials technology leader build a new ultra-high purity magnesium oxide production base Hay:B01BJTS
3D Systems’ 3D printing system 3D printed titanium cooling components for the Large Hadron Collider
Chinese car seat manufacturer provides lightweight aluminum alloy car seats for Volkswagen electric vehicles Hay:Q03ZJTC
China’s leading manufacturer of turbocharger components and aluminum alloy precision die castings releases Q3 financial report Hay:T02WXBS
China Vapor Chamber Technology Company successfully developed 0.3mm ultra-thin flexible VC Hay:F03SZOF
Chinese manufacturer of silicon carbide/SiC particle-reinforced aluminum-matrix composites develops drill rod for Chang’e-5 Hay:D04ZKJS
The High melting point Fischer-Tropsch synthetic wax production line in China was put into operation Hay:B07SXWL
China’s leading graphene technology company’s graphene thermal film production line realizes commercial production Hay:F03YNYT
Chinese leading manufacturer of high-end canvases, stretched canvases and other professional painting materials Build a new production base Hay:D10JSFH
Huawei, Bosch and iRobot’s micro motor, gearbox and micro precision transmission systems supplier successfully IPO Hay:U02SZZW
Okano became the world’s cutting-edge stamping company with its stretch stamping technology
China’s leading graphene thermal film technology company’s new production line is officially put into operation Hay:F03SZSR
The Boston Fire Department plans to pilot the C-THRU helmet solution from AR helmet manufacturer Qwake Technologies
China Polyurethane Composite Panel Technology Company and Huntsman successfully developed polyurethane PU flame-retardant insulation board Hay:F03HNCC
China Optical Materials Technology Corporation builds a new production base for optical grade acrylic sheets, optical grade light guide plates, and optical diffuser plates Hay:F06SXYH
China’s largest High-purity Pt-based OLED luminescent materials production base is put into production Hay:I01SCAG
Forward AM, BASF’s 3D printing division, has launched its new Ultrafuse 17-4 PH wire
China’s leading high performance polyimide/PI film manufacturer builds new production line Hay:C04SZRH
China vacuum bagging materials technology company releases small width air felt Hay:F08SHLG
China High Magnetic Induction Oriented Silicon Steel Technology Company builds non-oriented silicon steel production line for electric vehicle Hay:F05SHBG
Chinese leading Optical hardening film, screen protective film manufacturer Hay:C04NBHZ
Chinese special steel group successfully developed ultra-high-strength 1800 MPa class hot formed steel for automotive Hay:A02HGTG
The standard of Carbon Fiber Reinforced Resin Matrix Composite materials cryoresistive dangling ring for Nuclear Magnetic Resonance released Hay:D02JSAS
Chinese microelectronics grade polyimide film PI film manufacturer successfully developed polyimide film for high thermal conductivity graphite heat dissipation material Hay:C04AHGF
China’s leading supplier of titanium skull repair and fixation products and PEEK skull repair and fixation products Hay:P01SXKT
Chinese leading manufacturer of cryogenic tanks and cryogenic equipment, aluminum plate-fin heat exchangers Hay:Y08HZZT
The nickel iron base HT700T superalloy developed in China has been successfully applied to the ultra supercritical generator set Hay:A04CZSD
China’s leading manufacturer of silica aerogel and basalt fiber composite aerogel felt Hay:F03SXHY
China’s leading manufacturer of industrial control valve successfully developed high-pressure oxygen shut-off ball valve Hay:U05SHKW
[Stainless steel, titanium alloy, aluminum alloy, tungsten alloy] MIM and CIM/Ceramic Injection Molding precision parts with complex shapes Hay:T05SZAL
China’s leading manufacturer of zirconium-based amorphous alloy structural parts introduces high-vacuum melting injection molding equipment Hay:T02JSHJ
Asahi Kasei is optimistic about China’s Photosensitive dry film Sunfort market
SSAB is discussing the acquisition of Tata Steel EuroPE, the European Dutch business of Tata Steel
Trinseo will acquire Arkema’s polymethyl methacrylate (PMMA) business
Volkswagen and General Motors`s high-end die steel supplier Hay:A02SHXL
ABB, Siemens, Eaton, Schneider`s Motors with Gearbox Reducer supplier Hay:U01WXYF
The high-grade copper clad laminates for 5G electronic circuit board obtained Huawei certification, realizing import substitution Hay:I04SHNY
China’s first 6-meter wide non-woven polypropylene filament geotextile production line was put into operation Hay:D01SDJC
Boom Supersonic uses VELO3D’s Sapphire 3D metal printer to print Super complex titanium alloy structural parts
Italpresse Gauss[Norican] delivered 5700t die casting machine to German premium carmaker
Chinese leading manufacturer of Vanadium-Nitrogen Alloy, Nitrided Ferro-Vanadium and Vanadium-Aluminium Alloy Hay:A04HNZX
Industrial robot precision reducers supplier of FANUC, Yaskawa, KUKA, ABB Hay:U02SHJD
Global Cemented Carbide Pioneer CERATIZIT 3D printed cemented carbide parts won the FEDIL Innovation Award
China Valve Technology Corporation successfully developed Shut-down valve for offshore Production Platform Hay:U05JSYD
China Glass Technology Corporation and Samsung jointly build a high-aluminum ultra-thin flexible glass production base Hay:B04AHHR
China Tantalum and Niobium Material Technology Company builds production base Hay:A01GDGS
Caterpillar and SCMG OTR Tire supplier participate in bauma CHINA 2022 Hay:Q02SDMC
Carbon Fibre Reinforced Polymer: CFRP as the body structure of rail transit vehicles
China’s largest manufacturer of heavy & medium duty truck chassis systems, driving systems Hay:Q02HBDF
The graphene electric heating film production line built by China Graphene Technology Corporation was put into operation Hay:F03SHYL
China’s leading manufacturer of sapphire ingots and sapphire substrates Hay:B06NXTT
The hidden champion enterprise in China’s medical equipment and materials field Hay:P11SDKL
China Special Casting Technology Company uses Danieli special-shaped billet continuous casting machine to produce the world’s largest special-shaped casting Hay:T02AHMA
Chinese leading manufacturer of high temperature & pressure,large-diameter ball valves, gate valves, butterfly valves, globe valves and slurry valves Hay:U05ZJWZ
China’s leading Polyimide Film and CPI Film manufacturer accelerates localization Hay:C04SZRH
Roehm launches PMMA PLEXIGLAS® OPTICAL HT, which combines excellent heat resistance and optical properties
The connector precision components supplier of Amphenol, Molex and Tyco Hay:F04GDDT
China’s leading Glass Wool and Vacuum Insulation Panel (VIP) manufacturer Hay:F03CDHJ
Teijin’s CSP: Continental Structural Plastics establishes advanced technology center in the U.S.
China’s UV silicone hand-feel coating supplier exclusively supplies water-based coatings for Apple AirPods Max Hay:S07HNSJ
China’s automotive aluminum alloy precision die casting manufacturer provides aluminum alloy die casting motor housing for Volkswagen ID.4X Hay:T02GDWC
High purity nano alumina for IC substrate and lithium battery separator Hay:B01HNTM
The global only wafer-level glass lens production base Hay:F06CQCR
Global leading copper-chromium manufacturer Hay:D04XASR
China’s leading manufacturer of rare earth metals and alloys: Terbium, Dysprosium, Neodymium, Praseodymium, Lanthanum Metal, Dy-Fe Alloy, Gd-Fe Alloy, Pr-Nd alloy Hay:A06NBFN
Signify joins hands with Honeywell to jointly develop integrated intelligent lighting solutions for commercial buildings
Chinese leading manufacturer of Implantable orthopedic medical devices: joint prosthesis products and spine products Hay:T03BJCL
Chinese polymer sound insulation material manufacturer developed EPM sound insulation material for China’s high-speed trains Hay:F02ZZSD
Chinese leading manufacturer of 30NiCrMoV12 EA4T hollow shaft and motor shafts for locomotives,urban rail vehicles, EMUs,passenger cars and construction vehicles Hay:T03ZZZC
Chinese leading manufacturer of Titanium plate, titanium alloy tube, titanium alloy wire and titanium powder Hay:A04YNTY
China’s leading manufacturer of carbon fiber reinforced PEEK composites, carbon fiber reinforced PPS prepreg tape Hay:D02SZNE
Master Bond has developed high elongation epoxy resin EP40Med with 80-90% elongation
Global leading PCB supplier of CATL lithium battery management system Hay:I04GDJL
BWFRP composite pipe production process Hay:D02GDBT
Aluminum-silicon alloy precision structural parts spplier Hay:A03JSHR
China successfully developed a polymer nano-film transparent mask with a filterability exceeding N95 Hay:P05HKKJ
Hexcel launches HexTow® 85 ablation-resistant carbon fiber
LANXESS extends the application of Tepex continuous fiber reinforced thermoplastic composites to extrusion blow molding
Global leading hydraulic tubing OEM andsupplier for Caterpillar, Komatsu and VOLVO construction machinery Hay:R08JSYK
China’s leading precision solder paste printing equipment and high-speed precision dispensing equipment manufacturer Hay:V20GDKG
The electronic grade ultra-high purity aluminum 6N3 (99.99993%) successfully realized commercial production in China Hay:A03NBJY
China’s leading manufacturers of OIS VCM motors and SMA motors are favored by capital
Infotech and adhesive manufacturer DELO develop a 3D printing system for triple resin materials
TSMC, UMC, SMIC large-size 450mm Silicon Wafers,Artificial Crystals and Sapphire supplier for integrated circuits Hay:I01SHCG
The biggest diameter aluminum alloy integral forged ring Hay:T03ZLXN
Chinese lithium-ion separator manufacturer and Teijin sign a licensing agreement for lithium-ion battery coated separators Hay:N02SHEJ
China’s leading lithium battery copper foil manufacturer successfully commercializes 4.5um copper foil Hay:A03NDTB
Ceramic 3D printing expert Tethon 3D announced the launch of three new UV curable 3D printing resins
AAM and Dayco supplier of precision parts for Automobile engine and chassis & damping system Hay:T02HBDL
Norsk Titanium 3D printed Boeing 787 titanium alloy parts instead of titanium alloy forgings
ABB`s high-power device cooling solution: liquid cooling radiator and liquid cooling plate supplier Hay:F03HZXB
China’s first “12-inch automotive-grade power semiconductor wafer manufacturing project” starts construction Hay:I01SHDT
The whisker-enhanced aluminum matrix composite manipulator of Chang’e-5 detector Hay:D04HEGD
Alpine Advanced Materials`s HX5 thermoplastic nanocomposite demonstrates electromagnetic shielding properties
Dell and Apple precision stamping parts, precision forging parts and precision die casting parts supplier Hay:T01SZHY
Ultra-thin [0.015mm] stainless steel foil manufacturer won the award Hay:A05SXTG
The side stay for A320/321 developed by a Chinese Aluminum alloy precision die forgings manufacturer was approved by Safran Hay:T03SDNS
Applied Materials plans to acquire Kokusai Electric
The world’s largest Expanded Polystyrene (EPS Foam) producer Taiwan Loyal Group will build a new production base in Tianjin
Global 3D-sensors (LiDAR) leader builds a super factory Hay:I06SHHS
Siemens, ABB and Alstom rod type post porcelain insulator supplier Hay:F04JXZC
Chinese researcher Achieving large uniform tensile elasticity in microfabricated diamond
Build a new production base for germanium crystal substrates and indium phosphide single wafers for solar cells Hay:I04YNZY
Chinese automotive magnesium alloy structural parts manufacturers will build new production bases Hay:T02HBTD
Bosch and Continental automotive electronic connectors and wiring harness supplier Hay:F04ZJHX
The carbon fiber composite material/CFCC cable developed by Tokyo Rope was successfully used in the large-scale offshore highway bridge expansion project
Toray TORAYCA® T700 carbon fiber composite material used in the world’s first flying car Liberty
Chinese leading Precision parts with tungsten carbide coating supplier Hay:M03GDYK
Unsupported metal 3D printing super-complex titanium alloy structural parts, VELO3D helps Boom aircraft achieve supersonic speed
China leading Pure tungsten electrodes and tungsten or molybdenum products supplier Hay:A04SDMK
China Perfluorinated seal ring Technology Corporation builds Asia’s most advanced semiconductor perfluorinated sealing product production line Hay:U07SHXM
China Satellite Navigation Antenna and LTCC/HTCC Ceramic Substrates Champion Enterprise Hay:I06ZJJL
China’s polylactic acid (PLA) biodegradable straw industry invisible champion enterprise Hay:C03ZJST
China’s marine corrosion-resistant steel has achieved excellent results in demonstration applications on real ships Hay:A02ZGCB
Chinese commutator and connector manufacturer builds new cell frame and busbars production base for CATLHay:F04SZKZ
BASF and CoHaMa develop halogen-free flame-retardant Elastollan TPU safety straps for trains
China’s leading CMP polishing fluid and photoresist remover manufacturer breaks the technology monopoly Hay:I03SHAJ
China’s micro special motor hidden champion enterprise Hay:U01ZJTY
China leading 12-inch semiconductor wafer manufacturer’s production capacity reaches 800,000 pieces/year Hay:I01HZLA
China leading Metal To Metal sealed ball valve and Wafer check valve supplier Hay:U05ZJCD
China leading gold,silver and coper bonding wires manufacturer Hay:I04BJDB
Ultra-purity SODIUM ALGINATE realized commercial production in China Hay:P09QDMY
Chinese manufacturer of grating waveguide devices achieves breakthrough in grating waveguide technology Hay:I06BJXL
China’s Ultra-thin-wall rhenium (iridium) tube,crucible and complex shape rhenium (iridium) parts supplier Hay:A04HNZL
China’s leading supplier of high-clean rare earth ferroalloys for Rare earth steel Hay:A02BTXT
Kordsa provides carbon fiber fabric for TRB electric vehicle (EV) battery housing
China successfully 3D printed high-strength aluminum alloy connecting ring for heavy launch vehicle
China’s leading manufacturer of ultra-thin high-precision BTB connectors Hay:F04SZQD
China’s leading Thermal insulation materials:ceramic fiber,alumina fiber and basalt fiber manufacturer Hay:B03SDLY
ArcelorMittal invests 13 million euros to increase production capacity of automotive electrical steel
Global PEEK and PAEK leader Victrex launches VICTREX AM PAEK wire
The newly-built nano-zirconia production base of China’s largest zirconia manufacturer is put into operation Hay:B01FJSX
Tungsten Copper Alloy /Metal Matrix Composite
Russia increases the tensile strength of titanium aluminum alloy Ti6Al4V by 27 times
Apple and Samsung film tape supplier builds new production base for Polyethylene foam gum Hay:F01SZDY
Coca-Cola and Pepsi PET Bottle Blow Mold Supplier Hay:T10FSXL
Schindler & Otis aluminum alloy die casting supplier purchases Italpresse Gauss TF5700 high pressure die casting machine Hay:T02JSJZ
Chinese PI film manufacturer breaks DuPont’s monopoly in corona-resistant polyimide film Hay:C04SDZC
Chinese BOPA film manufacturer purchases five Brueckner production lines for manufacturing high-quality monoaxially and biaxially stretched films Hay:C04FJZL
China’s polishing pad technology research and development has entered the 14nm stage Hay:I03HBDL
European Commission announces investment of 20 million euros in new graphene electronics factory
DSM partners with Sympatex to launch Arnitel
Ultra purity stainless steel supplier for liquid nitrogen thermostat storage tanks Hay:A05SXTG
UAM 3D printer starts manufacturing satellite components for NASA
China high thermal conductivity pitch-based carbon fiber manufacturer Hay:D01HNDY
China Graphene Technology Corporation develops Transparent Conductive Film and GO/Self-cleaning Glass Hay:H02HBDX
China’s leading nylon fiber technology company successfully developed antibacterial nylon fiber Hay:P10HNSM
Solus Advanced Materials will expand aETL material supply for OLED TV panels
China’s leading manufacturer of liquid metal/amorphous alloys and the composite materials Hay:H06LNJY
The 200MN large electric screw press developed by China’s largest aviation forging technology company successfully rolled off the production line Hay:T03ZHZJ
OrthoLite launches the world’s first aerogel-infused open-cell polyurethane foam
Toray Composite Materials America released the latest structural prepreg CMA 3900
Hollow-grained “Voronoi foam” ceramics with high strength and thermal superinsulation up to 1400 ℃
Hypetex colored carbon fiber fabric enters the U.S. market
China high thermal conductivity mesophase pitch-based carbon fiber manufacturer Hay:D01HNDY
Chinese manufacturer of high-strength wear-resistant steel is the only Chinese supplier of Hyundai E&C Hay:AO2HNHL
Covestro successfully acquired DSM’s resin and functional materials business
China’s ultra-thin fiberglass cloth manufacturer builds a new high-end electronic grade fiberglass cloth production base for 5G Hay:D01SHHH
China leading high-purity silicon carbide powder and silicon carbide crystal manufacturer Hay:B01NMZK
China’s 48K large tow carbon fiber manufacturer and Dow Chemical have strategic cooperation on carbon fiber wind turbine blades Hay:D02SHSH
China’s leading LCP film manufacturer builds a new production base for liquid crystal polymer LCP resin materials Hay:C02SZWT
China successfully commercializes 18-inch high-purity silicon single crystals Hay:I01BJYY
BEAMIT develops 3D printing process for lightweight titanium alloy Ti6242
The production technology of ultra-light and high-strength hollow glass beads won the first prize Hay:B04AHKS
The Leading Manufacturer of Taper Sleeve Locking type rebar coupler Hay:U06QDSL
SKF precision bearing steel ball and precision bearing roller supplier Hay:T09JSLX
UK TFP Veils Aramid Nonwovens Increase Composite Abrasion Resistance
ORNL developed aerospace-grade heat-resistant molybdenum alloy 3D printing powder
Chinese leading High temperature resistant meta-aramid filter material, glass fiber bag, basalt dust bag manufacturer Hay:F07JSDF
Chinese company achieved industrial production of 2-inch semiconductor gallium oxide single crystal and epitaxial substrates Hay:I01BJMJ
China’s leading manufacturer of polymer sound insulation and vibration reduction materials Hay:F02SCMK
Chinese manufacturer provides high-strength radiation-resistant glass cover sheet for China Space Station Hay:B04QHXJ
Application of Glass Fiber Mat Reinforced Thermoplastic Composite (GMT) in Automobile
Hyosung provides carbon fiber to Hanhwa Solution for the production of high-pressure storage tanks for hydrogen energy vehicles
Nichia developed a new Mini-LED
China’s leading orthokeratology lens/OK lens manufacturer expands production capacity Hay:P04AHKS
PI Advanced Materials will build a new PI film production line
Infineon Technologies AG and Showa Denko K.K. signed a silicon carbide (SiC) material supply contract
MAHLE introduces a plastic transmission bracket made of BASF Ultramid®
Chinese carbon fiber material manufacturer’s marine composite propeller project won the first prize Hay:D02WHGW
The Flexible multifunctional functions of precision parts and precision metal parts supplier for Apple, Google and Amazon Hay:T01GZJB
Plastic Omnium and Hopium enter into cooperation to develop hydrogen energy storage system
Faurecia will provide CFRP storage tanks and hydrogen storage systems for Stellatis light commercial vehicles
UAC/UMOE Advanced Composites to develop a JV hydrogen pressure vessels plant in China
The high-strength magnesium-lithium alloy structural parts developed by China were successfully applied to the Mars Rover Hay:A03XASF
Copper-tungsten/CuW supplier of Alstom and HAKKO Hay:D04XASR
China’s leading manufacturer of aerogel insulation materials Hay:F03HTJZ
Toray developed high thermal conductivity carbon fiber composite material
China CMP Slurry Manufacturer: HKMG Process Aluminum Slurry for 28nm Technology Node Has Achieved Commercial Production Hay:I03SHAJ
Chinese aluminum-lithium alloy manufacturers successfully developed high-strength and high-plasticity aluminum alloy sheets Hay:A03ZLXN
China’s high-precision etching metal lead frame breaks through the international monopoly Hay:I04SDXH
China’s ArF photoresist manufacturer has made a major breakthrough Hay:I03JSND
China’s leading manufacturer of high-precision copper strips, copper wires and copper bars Hay:F04NBJT
Chinese leading germanium wafer, gallium arsenide wafer and indium phosphide wafer manufacturer Hay:B06YNZY
China’s A3 size graphene film realizes commercial production Hay:H02BJMX
Global leading manufacturer of high-performance magnets for drive motors Hay:A06JXJL
Chinese modified nylon company successfully developed flame-retardant reinforced nylon PPA for Huawei’s 5G equipment Hay:C03ZJXL
TIWARI Scientific Instruments uses FFF fuse extrusion technology to 3D print pure copper parts
Toray developed porous carbon fiber CO2 separation membrane
China’s first 8-inch silicon-based gallium nitride epitaxial wafer production line realizes commercial production Hay:I01SZYN
The world’s leading manufacturer of PLA biodegradable tableware Hay:C03NBJL
China’s expanded graphite material manufacturer provides bipolar plate graphite material for Ballard hydrogen fuel cell Hay:B06NBXY
China’s high-end glass fiber and carbon fiber composite material manufacturer Hay:D01ZGJC
China high-performance geophysical rope manufacturer Hay:D01QDHL
Hyosung Advanced expands carbon fiber production capacity
China YSZ and rare earth zirconate (Gd2Zr2O7, La2Zr2O7, La2(Zr0.7Ce0.3)2O7) thermal barrier coating material manufacturer Hay:M20NMJT
Mitsubishi Chemical launches Carbon fiber reinforced phenolic resin composite material capable of withstanding high temperature of 300℃
China’s leading needle roller bearing manufacturer becomes Volkswagen EntryNB wheel hub bearing supplier Hay:U03CZGY
The world’s leading manufacturer of large-width high-performance packaging water vapor barrier film for display Hay:F08JSKD
China’s leading optical PET film manufacturer breaks through the technological monopoly of Toray and Toyobo Hay:C04NBQB
Huawei’s high thermal conductivity and heat dissipation material supplier builds a new production base for liquid cold plates and high-performance radiators Hay:F03SZFR
China’s leading manufacturer of front silver paste for solar cells builds a new conductive silver paste production base Hay:I02CZJH
Ultra-high-strength elastic copper alloy
The leading manufacturer of metal bipolar plates for hydrogen fuel cells Hay:B01SHZZ
China’s leading manufacturer of ultra-precision optical components and ultra-precision metal molds Hay:T09SHSD
China’s leading carbon fiber technology company launches carbon fiber composite body for large passenger Bus Hay:D02ZJDY
China’s leading Superalloy large-scale blisk,high-temperature alloy wire and tube manufacturer Hay:A04GDJW
China’s manufacturer of carbon nanotube powder, carbon nanotube conductive paste and graphene composite conductive paste expand production Hay:H02JSTN
China’s 12-inch automotive-grade IGBT (Insulated Gate Bipolar Transistor) successfully commercialized production Hay:I06SDHH
China’s leading manufacturer of HV&LV Busway, Cu/Al bar & tin-coated copper strips Hay:F04JSWT
China’s leading 3D iris recognition narrowband filter manufacturer Hay:F06SZJB
Samsung Electronics and F&S Tech jointly developed a reusable chemical/physical wafer polishing pad (CMP)
China’s leading manufacturer of nanoporous aerogel insulation blankets Hay:F03SHDY
China’s leading aerospace structural titanium alloy, NbTi/ Nb3 Sn superconducting wire and high-temperature alloy manufacturer Hay:A04XAXB
China’s leading manufacturer of Arsenic Trioxide Eluting Stent AVI Hay:P02BJMZ
AML3D receives an order from Boeing for mandrel tools made of Invar-36 material[Ultra-low expansion coefficient iron-nickel alloy]
China’s leading manufacturer of long-wave infrared metalized windows wins large orders Hay:F06WXHR
China’s leading manufacturer of nickel-based superalloy seamless pipes and nickel-based corrosion-resistant alloy seamless pipes Hay:A02TGJT
High-temperature materials developer Advanced Ceramic Fibers and APL developed ultra-high-temperature ceramic matrix composite (CMC) materials
China’s biodegradable biaxially oriented polylactic acid film (BOPLA) was put into production Hay:C03FJZL
CuCr40/W-Cu manufacturer successfully IPO Hay:D04XASR
Datwyler strengthens R&D capabilities of Electrically and Thermally Conductive and Electromagnetic Interference (EMI) Shielding Materials (ETEMI™)
Another ultra-high-purity magnesium oxide production line in China realizes commercial production Hay:B01BJTS
Chinese company develops new heat dissipation materials and solutions Hay:F03SZHJ
The ultra-thin graphene heat dissipation technology developed by a Chinese company obtains a U.S. patent Hay:F03TWSQ
China’s leading manufacturer of key forged parts for aero-engines and medical orthopedic implant forgings Hay:T03WXHY
The leader of Through-Glass Vias (TGVs) technology in China Hay:B04SCMK
China’s leading manufacturer of titanium alloy customized special valves Hay:U05ZJLQ
Chinese manufacturer of commutators, slip rings, connectors and multi-layer insulated wires successfully entered the Mercedes-Benz supply chain Hay:F04SZKZ
China’s leading manufacturer of high-power ultra-thin photovoltaic glass and BIPV colored ultra-thin glass Hay:B04JSYM
China’s leading precision silicon electrode and silicon ring manufacturer enters the supply chain of many etching machine manufacturers Hay:I03FJJG
Honeywell Thermal Conductive Materials: Gel-grade thermally conductive pads (PT series) and Hybrid thermally conductive gel
China’s ultra-thin IXPE material + IXPP material was successfully applied to the iPhone supply chain system Hay:F08HBXY
BMW, GM’s automotive engine precision aluminum cylinder head supplier Hay:T02WZRM
China’s leading active solid hydrogen material and Mesoporous nano alumina molecular sieve manufacturer Hay:N04NMZQ
ROTH Composites develops carbon fiber winding machine for Ariane 6 rocket components
3M launches hollow glass beads for 5G
China’s leading titanium alloy and high-temperature alloy fastener manufacturer for aviation Hay:U07GZHK
Global top LCD color filter, semiconductor photomask manufacturer Toppan`s Q2 net profit increased by 14 times
Toray Composite Materials launches a flexible and adaptive prepreg system Toray 2700
China’s leading meta-aramid and para-aramid manufacturer increases the production capacity of meta-aramid by 5000 tons/year Hay:D01YTTH
Global leader in carbon fiber and carbon fiber composite structure Hay:D02JSXC
China’s leading nanocomposite flame-retardant aluminum hydroxide and boehmite for lithium battery separator coating Hay:B06AHYS
The global leading manufacturer of Type IV composite high-pressure gas cylinders Hanwha Cimarron builds a new production facility in the U.S.
China’s leading metal composite material manufacturer successfully developed magnesium-clad aluminum composite plate rolling technology Hay:D04HTKJ
Global’s leading manufacturer of electronic grade epoxy resins and epoxy resins for high-frequency and high-speed PCBs Hay:C11GZHC
China’s leading aluminum foil manufacturer provides CATL with 10-12-13μ aluminum foil for lithium battery positive electrodes Hay:A03SDNS
China’s leading needle-free injector manufacturer releases insulin and growth hormone needle-free syringes for children Hay:P11BJKS
China’s leading carbon fiber manufacturer builds a new resin and carbon fiber prepreg production base for aviation industry Hay:D02JSZF
Lithium batteries drive PVDF demand, and Chinese PVDF manufacturers have expanded production
China’s optical fiber composite power cable helps the construction of smart mines Hay:A03SXYG
Chinese company successfully produced 102-meter-long carbon fiber composite wind turbine blades Hay:D02ZCSR
Chinese nanofiltration membrane manufacturer successfully commercializes Polyethylene-supported nanofiltration/PENF membrane Hay:F07BJBS
China’s leading manufacturer of deformed high temperature and corrosion resistant alloy products builds a new production base Hay:A04SHZZ
Chinese leading manufacturer of carbon nanotubes and graphene Hay:H02JSTN
Manna Laminates launches fiber-metal laminate FEATURE FLM suitable for electric vehicle applications
China’s leading manufacturer of precision hollow drive shafts and CVJs, precision forged gears and high pressure common rail shafts Hay:T03TJTH
Panasonic and Hitachi’s precision Metal injection Molding structural parts supplier Hay:T05ZJZP
Chinese carbon fiber composite material manufacturers set new industry standards Hay:D02WHGW
Johnson & Johnson/Smith & Nephew’s medical titanium alloy tibia and orthopedic implant forging supplier Hay:P01WXHY
China’s leading submarine cable manufacturer continuously delivered 3 long-length 220kV photoelectric composite submarine cables Hay:F04ZHBS
Huawei’s high thermal conductivity graphite film, ultra-thin heat pipe and ultra-thin Vapor Chamber: VC supplier Hay:F03CZTY
LG InnoTek will purchase PCBs for automotive LEDs from Terranix/Young Poong Group
Chinese flexible conductive material manufacturer successfully developed the highly conductive transparent nano silver ink Hay:F04GDQM
Build China’s largest continuous basalt fiber R&D and production base Hay:D01SCBX
China solid state hydrogen storage alloy technology company successfully developed graphene interface nano valve solid state hydrogen storage material Hay:N01XAJD
Chinese company successfully developed ultra-high fatigue strength steel cable net for FAST project Hay:A02GXOV
The world’s largest BOPA film manufacturer successfully commercializes biodegradable biaxially oriented polylactic acid film (BOPLA) Hay:C04FJZL
Symbio[a joint venture between Faurecia and Michelin] is bringing its hydrogen fuel cell stack system to the US market
China leading high-strength, high-toughness and corrosion-resistant aluminum alloy plates, strips and foils manufacturer Hay:A03JSDL
Cree Wolfspeed and STMicroelectronics expand existing 150mm SiC wafer supply agreement
Samsung Electronics VS GLOBAL GRAPHENE GRP INC on graphene technology
China’s mesoporous nano aluminum oxide production line started commercial production Hay:B01NMZQ
China’s first electric recyclable transcatheter aortic valve system approved for marketing Hay:P02SHXT
China’s high-end lens manufacturer successfully developed rare earth supramolecular photochromic lenses Hay:F06NMXT
China’s high-performance and high-precision copper alloy strip manufacturer builds a new production base Hay:A03NBJT
LCP producer Polyplastics will build a new 5,000-ton/year LCP project in Taiwan
China’s leading Permanent Stainless Steel Cathode Plate and Copper-clad steel cathode plate Supplier Hay:A02ZJSY
The carbon fiber pultruded carbon sheet developed by China’s leading carbon fiber prepreg manufacturer has been successfully applied to hundred meters grade wind turbine blades Hay:D02JSHS
Chinese invar manufacturer successfully commercializes ultra-thin invar[0.7 mm] Hay:A04BGTG
China’s leading manufacturer of graphene thermal conductive coatings successfully developed ultra-high thermal conductivity pure graphene thermal conductive film Hay:F03ZKYD
China’s leading manufacturer of silicon carbide nano-mirror coating materials and C/C and C/SiC composite materials obtains investment from Huawei Hay:D10HNDZ
Research and Development of High Performance Magnesium Alloys in China
Manufacturer of VCM rare earth permanent magnets for Western Digital and Seagate computer hard drives Hay:F05HNXL
Trelleborg releases Soteria FRV ultra-lightweight fire-resistant carbon fiber composite material
China’s leading manufacturer of OLED high-purity organic light-emitting materials and Pt-based OLED light-emitting materials Hay:I01GDAG
China’s superhard materials-synthetic diamond industry is on the rise 1/2
Global leading LNG-BOG large-scale cryogenic helium extraction equipment manufacturer Hay:Y08BJFH
SABIC launches Noryl GTX 9500 resin with the potential of carbon fiber reinforced thermoplastic composites
China’s leading manufacturer of aero-engine compressor blades successfully developed a two-axis CNC pressure Shot blasting machine for integral blisks Hay:Y07WXHY
China’s leading Damascus copper interconnect electroplating additive manufacturer releases product: silicon nitride etching solution Hay:I03SHXY
JSR plans to acquire Inpria/the manufacturer of extreme ultraviolet (EUV) lithography metal oxide photoresist
L&L Products,The global Continuous fiber-reinforced composite materials supplier
China’s leading manufacturer of silicon carbide foam ceramics and composites Hay:D05LNZY
China’s heavy forging equipments is evolving toward large-scale, intelligent and precise
China’s leading manufacturer of corrosion-resistant alloy petroleum pipelines and bimetallic metallurgical composite pipes Hay:A02SYGD
China’s leading manufacturer of graphene modified fibers and Flame-retardant conductive fiber Hay:D01JSQS
China’s leading photovoltaic precision mask-metal nickel mesh and display optical functional film manufacturer Hay:I03SZNH
High-precision collaborative robot capable of threading needles can reach a maximum accuracy of 0.02 mm Hay:R10WHHZ
China’s leading manufacturer of laparoscopic surgery robots and orthopedic surgical robots completes $1 billion financing Hay:R10SHWC
China’s largest manufacturer of high-performance polyphenylsulfone/PSU/PESU/PPSU/PEEK resin and medical grade polyethersulfone Hay:C03SDHR
China leading negative refraction slab lens (DCT-plate) and Interactive Holographic Imaging technology provider Hay:F06AHDC
The Global leading proton exchange membrane/Perfluorosulfonic Acid Ion-Exchange PFSA Membrane for Fuel Cell manufacturer Hay:N02SDDY
Chinese leading Coreless organic substrate and Coreless package carrier board manufacturer Hay:I04GDYY
The leading Graphene heating diaphragm & graphene self-controlled temperature flexible composite materials manufacturer Hay:F03GXQY
China’s leading manufacturer of high-performance insulating materials Hay:F04SXXD
China’s Meta-aramid Insulation Paper and Aramid paper honeycomb invisible champion supplier and manufacturer Hay:D01SDMS
China’s leading flame-retardant magnesium rare earth alloy and biodegradable magnesium alloy manufacturer Hay:A03SHMH
Global leading Hot Isostatic Pressed Silicon Nitride Ceramic Ball supplier for Wind Power Silicon Nitride Ceramic Insulated Bearing Hay:B01ZCDH
China Carbon Black Company successfully developed nano-level ultra-pure carbon Hay:B07SXHY
Chinese stainless steel manufacturer successfully developed 304 stainless steel for mobile phone LOGO Hay:A02JGJT
Evonik and Samaplast collaborate to improve the performance of polyetheretherketone (PEEK) implants
Introducing GORE-TEX Products With An Innovative Expanded Polyethylene (ePE) Membrane
The world’s largest polyimide fiber products manufacturer Hay:D01JSAS
Chinese leading moulded aspheric glass lens manufacturer Hay:F06NJMD
Global leading ultra-thin wall MIM precision mobile phone structural parts and tungsten alloy vibrator supplier Hay:T05ZJDM
Chinese Titanium Alloy Manufacturer Holds Delivery Ceremony of TC4 Titanium Alloy Coil for Aerospace Fasteners Hay:A04SXBT
China leading Phase Change energy storage Materials/PCM Manufacturer Hay:F03ZJLE
Chinese leading EMI shielding materials, thermal interface materials and wave-absorbing materials and ferrite manufacturer Hay:F05SZHF
Chinese leading ultra-precision machining parts and precision fixtures manufacturer Hay:T10SZQR
Chinese leading Bimetal Composite Pipe /Tube manufacturer Hay:D04ZJJL
Chinese leading PVDF Films and fluorocarbon film coated Anticorrosive metal sheets/Plate manufacturer Hay:C04ZJGZ
Chinese AR technology company releases full-color Micro LED holographic optical waveguide AR glasses Hay:F06SZLN
China’s leading manufacturer of waterproof and oxygen barrier multifunctional composite membranes for electronic products Hay:F08SZAL
High-density polyethylene (HDPE) waterproof material helps China’s Tesla Gigafactory Hay:K02BJDF
Chinese Leading Bimetal Corrosion Resistant Tubing Casing and Ultra High Strength High Torque Casing Manufacturer Hay:A02SDML
The Sino-German joint venture copper-nickel-silicon precision copper strip and hot-dip tinned copper alloy strip and foil project was put into operation Hay:A03HNKM
Chinese leading Nano-fiber-based particulate purification material and flexible ceramic nano-fiber high-temperature heat insulation material manufacturer Hay: F07ZJFR
Chinese leading meta-aramid and para-aramid and aramid composite materials manufacturer Hay:D01SDZF
The development status of PAN-based high-strength and high-modulus carbon fibers in China, Japan and the United States Hay:D01ZKNB
Chinese high-purity quartz manufacturer successfully developed G8-generation synthetic quartz glass substrate for photomask Hay:I03HBFL
Aluminum-Matrix boron carbide composite neutron absorption plate and Lead Boron Polyethylene Board Hay:F05AHYL
Chinese hydrogen fuel cell metal bipolar plate manufacturer achieves another breakthrough in metal bipolar plate coating technology Hay:N01SHJZ
Chinese High-strength and high-toughness tubing casing for ultra-deep wells manufacturer Hay:AO2HNHL
Chinese leading Precision Iron Castings and Precision Aluminum Castings Supplier Hay:T02JSHD
The key technology of high-performance ITO target material for flat panel display won the second prize of National Technology Invention Hay:A01FJAS
China builds an industrial base for mesoporous materials [mesoporous fillers, mesoporous thermal insulation materials, mesoporous silica beads] Hay:F03SHFD
China’s leading Type IV carbon fiber fully wound high-pressure hydrogen storage cylinders and carbon fiber wound large-caliber composite pipe manufacturer Hay:N04SZZC
Chinese leading AR nano-grating waveguide lens manufacturer has overcome the key technology of mass production of
China-developed high-performance graphene thermal film won the award Hay:F03YNYT
Global leading Natural fiber composite automotive parts supplier of Mercedes-Benz, BMW, and Audi Hay:D01CCBC
Carbon fiber reinforced silicon carbide ceramic matrix composites Hay:D05BJTY
Dexerials will expand production capacity of anti-reflective film
China’s leading linear electronic phenolic resin for photoresist and furan resin manufacturer Hay:C02SDSQ
Application of aramid fiber materials in electrical insulation and electronics
Chinese leading tin coated copper ribbon,nickel ribbons,aluminum ribbbons and reflective copper ribbon manufacturer for photovoltaic Hay:F01SZTX
The sound insulation and heat insulation glass fiber wool felt supplier Hay:F03CQZS
China builds Gi-POF high-polymer optical fiber/Graded-Index Polymer Optical Fiber production base Hay:F06ZJQZ
Apple, Harman, HELLA, Bosch, Continental and Valeo supplier of HDI and high frequency high speed PCB for automotive electronics Hay:I04GDCS
Chinese leading gallium, indium, germanium, bismuth, and cadmium Suppplier developed 13N ultra-high purity germanium single crystal Hay:A01AHGZ
Global leading supplier of Ultra-precision optical lens: HUD Combiner & Reflector, LiDAR optical window, AR & VR Lens and Fresnel Lens Hay:F06FJFL
The world leader in non-constant speed drive shafts and High-strength aluminum alloy drive shaft Hay:Q02HNYD
Chinese leading optical devices and Micron high precision CNC metal parts supplier Hay:T09SZTF
Nexam Chemical`s Neximid high-temperature resin system can operate at temperatures up to 400°C
The high-strength and high-conductivity copper-chromium-zirconium alloy contact wire wire developed by China helped China’s high-speed rail set a world record of 486.1 kilometers Hay:F04HBXH
High-performance copper-based/silver-based precision wire preparation technology” won the first prize of Science and Technology Progress Award Hay:A03HNYK
H&S Hightech ACF[Anisotropic Conductive Film] technology breaks the monopoly of Japanese companies
Chinese leading nanostructured high strength and toughness molybdenum alloys supplier Hay:A01JDMY
Panasonic builds the world’s largest vacuum insulation panel/VIP/Glass fiber vacuum insulation material R&D and manufacturing base in China
Chinese BOPP manufacturer adopts brueckner technology to produce the thinnest [8 mm] Biaxially oriented polypropylene (BOPP) film Hay:C04FJFR
Chinese leading Rare earth aluminum alloy core XLPE insulated power cable and rare earth aluminum alloy photovoltaic cable supplier Hay:F04AHZQ
The world’s largest supplier of high-purity vanadium oxide Hay:B08HBHG
China’s high-performance graphene heat dissipation film manufacturer builds new production base Hay:F03SZJF
The bio-based TPU product developed by China’s leading TPU manufacturer obtained the UL Green Environmental Claim Verification Certificate Hay:C02SDWH
The supplier of Diffraction optical waveguide based on surface relief grating won a strategic investment from Xiaomi Hay:F06ZJZG
Chinese Leading Copper Clad Aluminum Busbar and Wire Supplier Hay:D04YTFX
Chinese manufacturer of corrosion-resistant alloy steel provides Kelvion/GEA Heat Exchangers with precision mirror-surface corrosion-resistant alloy flat steel Hay:A02PGTG
The global leading micro electroacoustic components Supplier for Harman, Sennheiser, Motorola, Corsair, Bose… Hay:F02JXTJ
Chinese manufacturer of High temperature calcined alpha alumina/Al2O3 provides raw materials for Corning Gorilla Glass Hay:B01SDAP
Rocket Lab USA developed the world’s first large-scale launch vehicle with carbon fiber composite materials
Chinese leading reaction sintered silicon carbide square beam,roller bar and bulletproof Ceramic manufacturer Hay:B01SDJH
Chinese manufacturer of super large die forgings successfully developed super large superalloy turbine disk integral die forgings Hay:T03ZGEZ
Chinese leading Wear-resistant, heat-resistant and corrosion-resistant high-temperature alloy materials and precision forgings manufacturer Hay:A04HBWW
The Leading Ultra-fine cemented carbide, ultra-coarse grained cemented carbide and Tungsten powder supplier Hay:A04XMJL
The leading sputtering targets and evaporation materials supplier for physical vapor deposition (PVD) Hay:A01GDXD
China’s first intelligent light-emitting fiber production line put into operation Hay:D01YTJW
High-strength, high-toughness and low-density steel provides a better solution for lightweighting Hay:A02ZXTG
Snap to acquire Micro LED/LCOS microdisplay supplier Compound Photonics
The flat wire motor developed by the world’s largest generator stator core supplier [of Valeo/Bosch/Denso] won the prize Hay:T01ZJCY
Chinese leading Aluminum Nitride Powder,Aluminum Nitride Substrate and Aluminum Nitride Precision Structural Parts Supplier Hay:B01CDXC
Carbon fiber reinforced silicon carbide ceramic matrix composites supplier expanded D05BJTY
The world’s thinnest [4um] copper foil manufacturer for lithium battery builds a new production base Hay:A03NDTB
The Synthetic Graphite Thermal Film and Nanocrystalline Magnetic Materials supplier of Samsung, Google, ABB and Flextronics Hay:F03GDSQ
Successful mass production of super-large integrated aluminum alloy die-casting structural parts using 6800T super-large die-casting equipment Hay:T02GDHT
Chinese leading G3 Nickel-based alloy oil well pipe supplier for sour oil and gas fields Hay:A02ZJJL
Toray Develops High-Performance Heat Exchange Membrane
The leading carbon fiber, Kevlar aramid fiber reinforced composites supplier of Amazon, Huawei and Samsung Hay:D02GDXX
China’s mineral insulated non-combustible cable manufacturer provides cold-resistant and twist-resistant cables for Beijing Winter Olympics venues Hay:F04YZBS
BMW, Bosch and Hexagon Purus collaborate on flat hydrogen tanks/cylinders for future fuel cell vehicles
The Thin-wall high frequency welded pipe supplier of MAHLE /Behr Thermal Systems,TOYO and KEIHIN Hay:A03SDXH
Teijin to build China’s third factory in Shenyang, China
The leading Polyvinyl butyral (PVB) interlayer/film and PVB resin supplier Hay:C04AHWW
Chinese Diffractive Optical Waveguide Lens Manufacturer Provides Products for OPPO AR Smart Glasses OPPO Air Glass Hay:F06ZJZG
China’s leading high-precision roll manufacturer wins ThyssenKrupp “Class A Supplier” title Hay:T03XTZG
Goobi Glass Launches Innovative Colored Glass to Automotive Market
China releases international standard ISO 24565 for ceramic-lined tubing for oil and gas industry Hay:D04ZGSY
The global leading CuCr/cu-w contact materials,CuCrZr alloy and copper alloy for Siemens medical image components supplier Hay:A03SXSR
UDC blue phosphorescent OLED material to be commercialized in 2024
The leading High purity chromium powder and copper alloy powder supplier Hay:A03SXSR
Teijin Expands Carbon Fiber Thermoplastic Products [High Performance Carbon Fiber Prepolymer PEEK/PAEK/PSE/PPS Series]
Extra coarse grained and ultra-fine/nano hard alloy for cemented carbide Hay:A04HNBY
Large-scale thin-walled aluminum-lithium alloy forgings supplier Hay:A03HNZC
Boeing halts titanium purchase from VSMPO-AVISMA
China’s leading digital control electrochromic material manufacturer jointly develops new products with Meta,Apple and Samsung for AR glasses Hay:H05SZBY
Swiss carbon fiber 3D printing specialist 9T Labs receives financing
China coaxial cold insulated high temperature superconducting DC cable successfully passed the test Hay:H07JSYD
The global leading large-scale ultra-high-purity InP polycrystalline and indium phosphide single crystal preparation technology Hay:I01ZGDZ
The leading Precision Structural Ceramics/Al2O3/ZrO2/ZTA/AlN/Si3N4/SiC Components Supplier for Semiconductor Equipment Hay:B03SZKM
Philoptics is introducing and verifying FMMs for small-sized OLEDs in Chinese panel factories
CIMC Enric and Hexagon Purus jointly produce composite hydrogen cylinders and hydrogen supply systems
Bio-based BOPA membrane developed by Chinese BOPA membrane manufacturer passed “TUV” certification Hay:C04XMCS
The ultra-thin (0.5mm) high strength low-alloy high-strength steel successfully rolled off the production line Hay:A02HBTG
The leading large size LBO and β-BBO ultra-precision crystal supplier Hay:B06SDSH
The leading Color Polymer Toner/Polyester Resin Color Chemical Toner supplier Hay:B07HBDL
The leading High-strength and toughness, corrosion-resistant aluminum alloy ultra-thick plate and aluminum alloy ultra-wide plate for aviation supplier Hay:A03GXNN
30 South Korean semiconductor companies established a silicon carbide industry alliance
The leading Nickel Carbonyl Powder and Nickel Carbonyl Pellets Supplier Hay:A05GSJC
The leading Vanadium/titanium alloy steel seamless pipe and strong anti-corrosion oil and gas pipe supplier Hay:A04HBJL
The leading ultra-thin IXPE foam and IXPP material supplier Hay:C03HBXY
Chinese liquid crystal polymer (LCP) film makers are expected to become the largest LCP suppliers in the world Hay:C04SZWT
China Large Size Thick Wall Aluminum Alloy Extrusion Tube/Profile Manufacturer Introduces 200MN Forward Extrusion Machine Hay:T06GDFL
China High Purity Sodium and Nuclear Grade Sodium Hidden Champion manufacturer/supplier Hay:A01NMMR
Apple Reportedly Finds Periscope Telephoto Lens Supplier for 2023 iPhones
Chinese Ultra High Purity Aluminum/Foil Manufacturer Launches Anode Foil for Aluminum Electrolytic Capacitors Hay:A03XJZH
China leading Carbon fiber drive shaft, carbon fiber electric drive rotor spindle & sheath supplier Hay:D02SHHY
Chinese top sensor IC MEMS packaging substrate manufacturer builds new high-density interconnect substrate (mSAP) production base Hay:I04JSPN
China’s largest manufacturer of integral stainless steel ring forgings has successfully developed a large austenitic forging C-type seal Hay:T03SDYL
Application of titanium alloy parts in aviation field
China leading Large Size Quartz Sleeve Manufacturer for Optical Fiber Preform Hay:B04HBJZ
China’s largest zirconium-matrix amorphous alloy/zirconium-based liquid metal manufacturer builds new production base Hay:H06DGYA
China’s leading high-purity carbon powder/graphite and high-purity carbon fiber thermal insulation materials manufacturer Hay:F03HNDL
China leading High Purity Tungsten/Molybdenum and Alloy Products Manufacturer Hay:A04LYAK
The leading High Performance Aluminum Matrix Composites and Aluminum-Steel Composite Strips Supplier Hay:D04JSYB
The leading camera lens filters and optical glass filters supplier Hay:F06HBDT
China’s leading manufacturer of wide-width molybdenum targets builds new production line Hay:A01LYDJ
Using carbon fiber and aerospace-grade aluminum honeycomb to make satellite solar wing substrate Hay:D02SZQX
Chinese company develop high-performance carbon paper and flexible graphite plate materials for hydrogen fuel cells Hay:N01HNJB
China’s leading high-performance stainless steel manufacturer releases stainless steel for liquid hydrogen pressure vessels Hay:A02TGJT
China’s leading fuel cell membrane electrode manufacturer starts commercial production Hay:N01GZHJ
China successfully mass-produces N08120 heat-resistant nickel alloy materials Hay:A02TGJT
The carbon fiber anchor cable developed in China has been successfully applied to the plateau railway project Hay:D02ZJBJ
China’s leading carbon fiber composite structural parts manufacturer Hay:D02WHTZ
Chinese high-performance wear-resistant alloy manufacturer releases TiC particle-enhanced [titanium alloyed] wear-resistant steel Hay:A02AGJT
China’s leading RTP Pipes (Reinforced Thermoplastic Pipes) manufacturer won the bid for the East China Sea Oilfield Project Hay:D02TJHZ
The global leading Iridium Crucible, Iridium Wire and Iridium Alloy Wire Supplier Hay:A01SXSY
The global leading Ti45Nb titanium alloy material and NbTi niobium titanium superconducting wire supplier Hay:A04XAXB
Dunlee metal 3D printing pure tungsten parts annual output exceeds 100,000
Chinese ultra-thin titanium alloy strip manufacturer achieves commercial production of titanium alloy foil Hay:A04SCHJ
The leading supplier of deformed superalloy and cast superalloy master alloys[Rolls-Royce] and corrosion-resistant copper alloy seamless pipes Hay:A04WXLD
Chinese flexible low frequency submarine cable manufacturer to supply ultra-high voltage submarine cables to TenneT in the Netherlands Hay:F04NBDF
Schott ZERODUR® extremely low thermal expansion glass-ceramic
Chinese carbon fiber composite manufacturer supplies material for Chinese ZA800 aircraft Hay:D02JSHS
Radiation cooling metamaterials/cooling materials Hay:F03NBRL
Ultra-high precision 3D printing technology Hay:H01CQMF
Chinese carbon fiber manufacturer successfully commercialized the special large tow carbonization line for 35K high pressure gas cylinder Hay:D01JLHX
Carbon Revolution: The weight has been successfully reduced by 35%, and the transport helicopter plans to use carbon fiber composite wheels
The Largest 7050 aviation aluminum alloy and Titanium Forgings Supplier of C919 Passenger Aircraft Hay:T03ZLXN
The global largest diameter seamless rolled/forged steel ring delivered Hay:T03SDYL
China’s leading motor core and lithium battery precision structural parts manufacturer expands production Hay:T01NBZY
The High precision differential bevel gear for automotive supplier of Mercedes-Benz, BMW and Audi Hay:T03JSTP
The Active Metal Brazing Ceramic Substrate and the key Suppliers
Prusament launches PA11 Carbon Fiber Black [a low-hygroscopic, low-twist carbon fiber-reinforced polyamide filament for 3D printing]
Chinese tech company supplies large-volume X-axis linear motor and SLS super-linear speakers for Samsung Galaxy Z Fold4 Hay:U01SZRS
China Radial Forged Titanium Alloy Manufacturer Builds New Production Line Hay:A04BJTP
AGY and Kaneka Aerospace Announce Collaboration to Develop Transparent epoxy/glass fiber composite
China’s leading manufacturer of high-performance aluminum foam and Liquid die forging extrusion forging of high strength and tough aluminum alloy Hay:A03SDWQ
Dutch hydrogen pipeline/Thermoplastic Composite Pipe (TCP) company Strohm secures financing
The world’s first “dural (spinal) membrane patch” was successfully applied in Cambridge University Hospital Hay:P01GZPM
The leading Complex Thin Wall Deep Cavity Titanium Alloy Precision Parts Supplier for Aviation Hay:T09XACD
China Leading Aviation Grade Aramid Paper Honeycomb Core Manufacturer Passed COMAC Certification Hay:D01SHTY
China’s leading aerospace aluminum alloy Supplier of Boeing, Airbus and COMAC Hay:A03ZGLY
Expansion Alloys for Masks and Corrosion Resistant Alloys for Lithography Hay:A04TGJT
Chinese Orthopedic Surgery Robot Receives 510(k) Certification from US FDA Hay:R10SHWC
The Leading High-grade non-oriented electrical steel Supplier for electric vehicle drive motors Hay:F05BJSG
The leading rock breaking tools Supplier Hay:T10WHHL
The Global Leading Insulation and Flame Retardant Chip Supplier Hay:F04SCDC
The Leading Semiconductor Equipment Precision Components Supplier of Applied Materials Hay:I03SYFC
The Leading Heterogeneous Integrated Material Substrate and Single Crystal Thin Film Heterogeneous Wafer Supplier Hay:I01SHXG
The Global Ti45Nb Titanium Alloy Material and NbTi Superconducting Conductor Wire Supplier Hay:H07XBCD
China Leading Stamped Lead Frame and Etched Lead Frame Manufacturer Building New Production Base Hay:I04GSJC
The Leading Ultra Wide Ultra Thin [4.5 μm/micron] Aluminum Foil Supplier Hay:T03GSLX
The Leading Quartz Fiber, Carbon Fiber and Silicon Carbide Fiber Aggregates Supplier Hay:D02HBFL
The Leading Yaw Bearing and Pitch Bearing Supplier of GE,Vestas and Siemens/Gamesa Hay:U03ZJTM
The Leading Rare Earth Permanent Magnet Motor Manufacturer Hay:U01HNGC
The Leading waterproof, microporous breathable and lure PTFE membrane Supplier Hay:F07SDSR
The Leading Industrial Smart Sewing Machine Housing Supplier of JUKI and PFAFF Hay:T02HNKS
The Leading Polyarylethersulfone membranes and Polyetherimide (PEI) Supplier Hay:C02GDYJ
The Leading High Precision Ultra Thin Nickel Foil and High Purity Nickel Strip Supplier Hay:A01GSJC
The Leading large size ITO target and IGZO target supplier Hay:A01HFXD
Ultra high strength alloy coated high-strength durable steel wire successfully applied to the world’s largest sea crossing bridge Hay:A02SHBG
China’s leading LCP film manufacturer newly built LCP film production line for 5G high-frequency communication Hay:C03NJBD
The Leading Silver Tin Oxide Wire/Sheet Alloy Contact Material Supplier of Schneider, ABB and Tyco Hay:F04FSNP
The Leading supplier of rare metal [titanium, zirconium, tantalum and niobium] pipes and fittings Hay:A04SXXB
The Leading Heavy Truck Steering Knuckle Supplier of Daimler Benz, ArvinMeritor and DAF Hay:T03HBSH
The Leading micro ultra precision parts, precision special-shaped circlip and memory alloy headphone cable Supplier of Apple Hay:U07SZFL
The Leading Titanium Aluminum Alloy LP Turbine Blade and Titanium Alloy Casting Supplier Hay:A04JSHT
The high precision machining parts and components supplier of KYB, Rexroth and Nikon Hay:T09WXZY
BH and YP Electronics are expected to benefit from the reshuffle of the iPhone RFCC supply chain
Ballard built a hydrogen fuel cell:MEA Membrane Electrode Assembly production facility in China
China’s car mounted Mini LED backlight display module manufacturer signs a supply contract with Robert Bosch Hay:I01SZLL
China’s leading manufacturer of silicon nitride ceramic structures and silicon nitride substrates has built a new R&D center Hay:B01QDQL
China’s leading copper nickel silicon alloy manufacturer releases titanium copper alloy materials Hay:F04NBBW
The Indirect Thermoforming Mold supplier of Mercedes Benz and BMW has mastered the step thermal forming technology Hay:T10HNXG
High end silicon steel used in China’s ultra-high voltage field has completely replaced imported products Hay:F05SHBG
The Leading Metal Matrix Composite Supplier Hay:D04HNST
China’s leading high temperature superconducting tape has completed six “Global No.1” projects Hay:H07SHCD
Chinese air spring and elastic hinge manufacturer newly build nano composite elastic components Hay:Q02SDGC
Chinese graphene manufacturer successfully developed high voltage and high energy density capacitor graphene Hay:H02SZCQ
Chinese liquid medicine transfer products have passed the FDA certification Hay:P11JSCN
The Leading High strength and high modulus para aramid fiber supplier Hay:D01JSZH
China Aerogel gel Technology Corporation uses the silica gel atmospheric pressure preparation process to achieve low-cost production of aerogel gel Hay:F03WHZK
The first 35kV polypropylene low-carbon environmental protection cable in China was put into operation Hay:F04NBDF
SGL Carbon and Koller Kunststofftechnik developed unidirectional carbon fiber reinforced thermoplastic parts (CFRTP) for BMW
Large size grid reinforced thin-walled alloy forgings Hay:T03HNZC
The Leading ultra wide and ultra-thin titanium alloy plate supplier Hay:A04HNJT
Precision Castparts Corp/PCC:large and complex structural castings and forged components Supplier for aviation
The Leading Composite current collector aluminum foil and copper foil Supplier Hay:N01CQJM
The Leading UHMWPE fiber,PEUD and aramid UD Supplier Hay:D01BJTY
The Leading Radial forged titanium rod and forged reducer Manufactuturer Hay:T03BTJM
The Leading Reduced activation ferritic/martensitic steel (RAFM) Supplier for ITER Hay:A02SCYF
Leading high thermal conductivity spherical alumina powder supplier Hay:B01HNTM
Chinese auto lightweight parts manufacturer builded HFQ [Hot Form Queens] high-strength aluminum alloy hot forming production line Hay:T01SCCF
China’s leading gallium nickel epi axial supplier released 300mm GaN-on-Si HEMT epi-wafer Hay:I01SZJZ
The Leading aluminum honeycomb barrier supplier for automobile crash test Hay:F01SZQX
Provide Nippon Steel with non quenched and tempered steel for the production of automobile forged crankshaft Hay:A02HNXG
The leading supplier of high corrosion resistance aluminized magnesium zinc and aluminized zinc steel plate Hay:A02SDXM
The leading precision synchronizer and differential components supplier of ZF and GETRAG Hay:Q02CQHN
The Leading High Fatigue and Weatherproof Rubber Shock Absorbing Product Supplier Hay:F01ZZSD
Chinese leading optical grade lithium niobate (LN) crystal and lithium tantalate (LT) crystal supplier Hay:B06SZNZ
The Top Wafer Level Glass(WLG) Optical Glass Lens Supplier Hay:F06CQCR
Quantum Science Launches INFIQ Quantum Dot Ink
China High Purity Indium Phosphide Polycrystalline Material Supplier Hay:I01SXYJ
The high strength and high model para aramid fiber achieved commercial production in China Hay:D01YTTH
Corning released reinforced glass Gorilla Glass Victus 2:improve the anti drop ability and rough surfaces
Samsung Display signed a 5-year OLED material supply contract with UDC
The leading nickel alloy steel plates SA203GrD Supplier for ultra-low temperature pressure vessels Hay:A02HGWG
The Leading aviation forging and mining mill large gear ring supplier Hay:T03ZXZG
China’s leading manufacturer of stainless steel tubes and high-performance alloy tubes has built a new aviation precision tube production base Hay:A02ZJJL
Award of “Key Technology for New Materials of Ultra Coarse grained Cemented Carbide” Hay:A04ZZJB
Gränges Powder Metallurgy Launches Low CTE Aluminum Alloy Powder
The Leading Carbon Fiber Bedplate Supplier of Siemens and Philips Hay:D02SHJF
Liebherr develops lightweight carbon fiber composite cylinder
The leading manufacturer of steel-aluminum composite won national research and development projects Hay:D04JSYB
Developed the aviation aluminum-lithium silicon glass for C919 airplanes Hay: B04CQXJ
The global largest 8-inch GaN-on-Si epitaxy wafers supplier Hay:I01SZYN
China’s leading ADAS lens manufacturer commercially produces geometric optical waveguide, diffractive optical waveguide and folded optical path lens Hay:F06JXLC
Meta acquires VR optics company Gary Sharp Innovations
Strategic cooperation in the field of high-end nanospheres Hay:B04FJYX
The leading 56G high-speed backplane connector supplier of ZTE Hay:F04SZXD
The Absorbable bone repair material (absorbable regenerated bone) was approved by FDA 510 (K) for marketing Hay:P01SZLX
The Leading Supplier of Large Size Gallium Oxide Wafer Substrates and Epitaxial Wafers Hay:I01BJMJ
The leading precision vacuum activity parts and lower electrode supplier for semiconductor equipments Hay:I03SZGX
Chinese silicon carbide wafer manufacturer successfully commercializes 8-inch SiC epitaxial wafers Hay:I01XMHT
The world’s largest crack gas valve was successfully manufactured Hay:U05HTKJ
The boron nitride nanotubes supplier realized commercial production Hay:H02SHPJ
Wafer Level Glass (WLG) lenses manufacturer developed AR single layer color optical waveguide lenses Hay:F06CQCR
The leading high-strength and high-strength aluminum scandium alloy supplier Hay:A04HNDF
The Leading Wafer Level Lens Arrays and Hybrid Lens Supplier Hay:F06SZJF
Rokid Max is equipped with the first AR glasses dedicated ultra linear speaker Hay:F02SZRS
Curiteva’s first Inspire Porous PEEK 3D printed cervical spine implant obtained FDA approval
The service life of anti-corrosion graphene coating sucker/pump rods has significantly increased Hay:A02BHNK
Second only to DuPont in the field of aramid insulation paper and aramid honeycomb paper Hay:D01SDMS
China Successfully Developed High Manganese Steel to Replace 06Ni9DR Hay:A02HNXG
China’s Locking Titanium Adapter for Peritoneal Dialysis Catheter has obtained a medical device registration certificate Hay:P06SDHR
SGL Carbon showcases new carbon fiber SIGRAFIL ® C T50-4.9/235
Chinese medical fishbone line manufacturer breaks Johnson&Johnson’s technological monopoly Hay:P11NJPL
China High Precision AMOLED/LTPS Photomask Supplier’s net profit has increased significantly Hay:I03SZQY
The Leading Precision Tungsten Carbide,Tungsten Cobalt Alloy, Tungsten Nickel Alloy Components Supplier Hay:A04HNXD
Infineon signs a strategic procurement agreement with Chinese silicon carbide substrate supplier Hay:I01SDTY
HOYA and BOE establish a high precision photomask joint venture in China
The Precision Optical Lens Supplier of TRUMPF and RENISHAW Hay:F06FJFT
China begins commercial production of 400KM/H high speed rail wheels Hay:A02MAGJ
China PFSIEM/Perfluorinated Sulfonic Acid Ion Exchange Membrane Achieved substitutions for DuPont products Hay:N02JSKR
The leading ultra-wide and ultra-large cross-section magnesium alloy precision extruded profiles and magnesium alloy seamless pipes supplier Hay:T06SXYG
The Copper Pin Fin/Needle Heat Dissipation Baseplate/Substrate Supplier of Infineon and Bosch Hay:I04HSGJ
Chinese hollow capillary fiber hemodialysis membrane manufacturers break through hollow fiber membrane spinning technology Hay:P06CQSW
The Leading high-performance ultra light foam aluminum extrusion structural parts Supplier Hay:A05SDHH
The Top high-density multi-layer VGA (graphics card) PCB Supplier of NVIDIA&AMD Hay:I04HZHS
Application of Nanodiamonds in the Aerospace Field
The top ten ABF board manufacturers in Asia occupy 84.8% of the global market
The automotive aluminum alloy precision die casting parts supplier of Bosch, Valeo and Denso Hay:T02CCBD
The Leading Supplier of Large and Complex Precision Titanium Alloy Thin Wall Components Hay:A04CQLH
China Nb3Sn/NbTi superconducting wires have achieved multiple achievements Hay:H07XBCD
China’s independently developed liquid helium tank box has entered mass production for the first time Hay:F03SZAR
The world’s largest tonnage of 12,000T intelligent integrated die-casting unit has officially been put into operation Hay:T02GDHT
Vanadium containing high-strength seismic steel bars Hay:A02CDFT
The Leading Continuously Transposed Conductor (CTC) Supplier of ABB & Siemens Hay:F04WXTL
Toray uses Nano multilayer technology to develop high insulation film material PICASUS ™
Chinese silicon carbide substrate manufacturer realizes commercial production of 8-inch silicon carbide epitaxial wafers Hay:I01XMHT
The Leading Fresnel Lenses of Philips,3M and Siemens Hay:F06SDYY
The leading NbTi superconducting enameled wire supplier for superconducting magnets Hay:H07CSJB
The Colorless polyimide (CPI) Films Supplier of Apple and Samsung Hay:C04ZZSD
Chinese ceramic springs achieve 25% compression Hay:B03ZCDH
The leading titanium alloy seamless pipe supplier Hay:A04JSHY
The world’s first porous titanium alloy intervertebral fusion cage has been approved for launch Hay:P01XAWD
The leading silver-plated soft copper wire supplie Hay:F04JSYD
The Global Quantum Dots Material Supply Market Analysis
Provide high-performance heat dissipation and electromagnetic shielding materials for HUAWEI Mate 60 Pro+ Hay:F05SZFR
316H stainless steel developed for the fourth generation sodium cooled fast reactor core Hay:A02JGJT
The leader in precision spray forming technology Hay:H01FSFH
Successful development of the world’s largest ultra-thin boron carbide film Hay:B01ZGSL
The leading LCP fiber and LCP film supplier Hay:C02SHPL
The world’s first release of 1000 megapascal grade ultra-high strength magnetic yoke steel Hay:F05TGJT
The largest alumina continuous fiber production base in China has been put into operation Hay:B01SHRR
The leading supplier of aluminum matrix silicon carbide composite heat dissipation plate Hay:F03HNHW
The global leading high purity ultraviolet fused quartz glass supplier Hay:B04SCSG
Chinese Hexagonal Boron Stainless Steel Seamless Tube Successfully Achieved Realize Commercial Production Hay:A02TGJT
The High sulfur, high calcium & aluminum containing non quenched and tempered steel supplier Hay:A02BWZN
The leading high-purity 5N alumina supplier Hay:B01HNTM
7N ultra-purity gallium for semiconductor realize commercial production Hay:A01ZLGX
The Strongest Protective Microcrystals Glass Released by Chinese Supplier Hay:B04JSJH
ZERODUR® near zero expansion glass-ceramic from SCHOTT
The leading zirconium-titanium-steel three-layer clad composite plate supplier Hay:D04XATL
China’s leading advancd technicalceramic and optical crystal technology provider Hay:B01ZCGX
The ultra-purity germanium crystals, yttrium lutetium silicate, zinc cadmium tellurium crystals supplier Hay:B06HEGZ
China leading super alloy, titanium alloy, aluminum alloy, magnesium alloy, heat-resistant steel, stainless steel, alloy steel, carbon steel precision forged parts for aviation engines and gas turbine manufacturer and supplier Hay:T03WXPK
China leading Semi Solid Metal / Light Alloy Precision Castings / Rapid Slurry Forming (RSF) Ultra-thin wall die casting parts and components, aluminum alloy communication castings: filters, radiators, combiners manufacturer and supplier Hay:T02FJJR
China leading manufacturer of precision seamless steel pipes and small-diameter alloy seamless steel pipes for high temperature, high-pressure boilers invest in the construction of special steel pipe technology R & D centers Hay:A02JSCB
China Leading high-precision gear machining tools, high-precision hobbing tools, broaching tools, cold extrusion tools Supplier and ManufacturerHay:T10ZJHF
The leading large-size and wide & thin-wall precision extruded aluminium profiles/panels manufacturer Hay:T06ZLSP
Top Hygienic materials:high-purity stainless steel key components for Hygienic fluid pipeline system and ultrahigh vacuum (UHV) system,including vacuum chamber,sanitary pump,valve,flange,Tubes & fittings manufacturer and supplier Hay:U07KSXL
Automotive Carbon Fiber Reinforced Plastics-CFRP parts, Airbus carbon fiber structural parts, Rapid Multiinjection Compression Process-RMCP top manufacturer and supplier Hay:D02JSHR
China top Offshore Pipelines & Risers-Flexible pipe, aramid fiber, polyester fiber, glass fiber Reinforced Thermoplastic Pipe / RTP,Flexible Composite Pipe (FCP) manufacturer and supplier Hay:D02NJCG
Leading super-strong carbon fiber reinforcement Plastic and nano-ultra-wear-resistant plastic, modified plastic:structural reinforcement, conductive and electronic shielding, flame retardant, self-lubricating high wear resistance manufacturer Hay:C03JSFD
High-precision non-ferrous alloy strip, bar, precision thin fine wire manufacturer invests in an annual output of 50,000 tons of special alloy strip Hay:A03NBBW
China zirconia, alumina, silicon carbide ceramic precision structural parts and components: Dispensing nozzles, Porous ceramic vacuum chuck, ESD Nozzle Tip, Collets, Piston, ESD Tweezers, Shaft and Sleeve manufacturer and supplier Hay: B01SZSD
China leading copper-matrix alloy powders manufacturer and supplier Hay:A03JHCK
China leading Enameled Copper Wires, Self-adhesive wire / Self-bonding wire, Fine flat copper wire / flat enamelled copper wire, Ultra fine Alloy wire, Tinned round copper wire manufacturer and supplier Hay:F05GDXF
China leading Elastic alloy, Low-expansion alloys, Constant expansion alloy, Soft magnetic alloy, Permanent magnet alloy manufacturer and supplier Hay: A02SHBG
China leading precision stamping parts:commutators,Connectors & Busbars,slip rings,high-elastic precision parts,precision parts,Multi-layer insulated wires manufacturer provide power battery pack structural parts to Daimler Benz Hay:T01SZKZ
China leading manufacturer and supplier of harmonic reducers, mechatronic actuators and precision parts for industrial robots, service robots, CNC machine tools, aerospace, medical equipment, semiconductor production equipments Hay:U02SZLD
China leading graphite/carbon-based/C/C material with Anti-oxidation silicon carbide nano mirror coating, C/C and C/SiC composite materials, CVD silicon carbide nanowires/whiskers manufacturer and supplier Hay:D05HNDZ
China leading iron-silicon-chromium soft magnetic powder,amorphous alloy strip,amorphous iron cores,gas-atomized silicon aluminum powder,micron spherical metal powder,nanocrystallized ultra-thin alloy strips manufacturer and supplier Hay:F05QDYL
China`s leading manufacturer of Amorphous alloy, zirconium-based amorphous alloy, Zr-Cu-Ni-Ag-Y amorphous alloy precision die-casting, biodegradable medical magnesium alloy, magnesium aluminum alloy Hay:H06DGYA
The high-strength wear-resistant copper alloy precision parts Supplier of Bosch, Mahler and Eaton Hay:A03WHFZ
Chinese polyimide fiber manufacturer obtains blue polyimide fiber patent Hay:D01JSAS
国际一流的采购与供应链管理专业领域的高端管理咨询公司
Non-Productive Material/Capital Asset Procurement Management Consulting Project: case study of procurement consultation for imported precision machining center project
Indirect [MRO] Material Strategic Sourcing & Procurement Management Consulting Service Case
SWOT分析模型在工作中的应用
标杆分析法/基准化分析法(Benchmarking,BMK),又称竞标赶超、战略竞标
贝恩利润池分析工具(Bain Profit Pools)
抱怨冰山理论
MBTI人格理论(迈尔斯类型指标,Myers Briggs Type Indicator,MBTI)_国际流行的职业人格评估工具
美国心理学家埃利斯的ABC理论(ABC Theory of Emotion)
感性营销(Sensibility Marketing)
修昔底德陷阱(Thucydides’s Trap)
服务营销(Services Marketing)
大市场营销(Mega Marketing)
关系营销 (Relationship Marketing)
服务促销策略(Services Sales Promotion Strategy)
目标管理 (Management by Objectives)又称“管理层收购”(Management Buy Out)
走动管理 (Management by Walking Around,MBWA)
标杆管理 (Benchmarking Management)
绩效管理 (Managing For Performance)
例外管理(Exception Management),也称异常管理
时间管理 (Time-Management)
一分钟管理法(One Minute Management)
面试中常常被问到职业生涯规划这个问题,该怎么回答
企业内容管理 (Enterprise Content Management)
海豚式管理 (Management as Porpoise)
倒金字塔管理 (Handstand Pyramidal Management)
供应商质量管理Supplier Quality Management
采购管理领域供应管理投资回报管理
世界500强外企采购流程文件/采购管理文件层级设计
战略采购谈判技巧培训:世界500强外企采购经理谈判准备
世界500强外企日本电装Denso采购经理谈采购部门如何早期介入新产品项目开发
战略采购谈判培训课程:如何与强大的供应商进行采购谈判
哈佛谈判专家的谈判之道 谈判中的BATNA思维:谈判协议的最佳替代方案Best Alternative to a Negotiated Agreement
高级采购管理培训机构选择及评估标准:跨国集团资深HR经理经验谈
美国强生供应链经理:当前VUCA经济环境中六种更好的供应链管理战略
BASF巴斯夫采购经理:采购领域常用的关键绩效考核指标KPI/key Performance Indicators
本土制造企业如何提升综合竞争力China Manufacturers How to Improve The Competitiveness
采购战略开发Procurement Strategy Development
卡拉杰克采购矩阵模型:What is the Kraljic Matrix
双赢的采购谈判策略与技巧之 双赢谈判策略:真正的谈判高手不会让对方吃亏 Win-Win Negotiation Strategy
采购管理咨询:不以质量为代价的采购解决方案Our Latest Thinking on Procurement
采购战略管理咨询顾问公司:采购战略之垂直整合 Vertical integration_A6/64
战略采购管理咨询之 联合采购Buying consortia B4/64
采购战略管理咨询之 需求削减管理 Demand reduction A1/64
高端采购管理咨询顾问机构 竞争产品分解Product teardown C7/64
供应商管理培训课程 供应商整合 Supplier consolidation C2/64
采购成本管理咨询与培训 支出透明化 Spend transparency D3/64
采购战略管理咨询公司与培训课程 全球采购 Global sourcing E1/64
采购战略管理咨询与培训机构 可视化流程组织 Visible process organization (VPO) E5/64
采购战略管理咨询与培训机构 最佳采购地 Bestshoring F2/64
供应商风险管理 可持续性管理 Sustainability management F7/64
采购成本策略管理咨询与培训 线性特性定价策略 Linear performance pricing H1/64
非生产性物料采购培训 总拥有成本管理 Total cost of ownership (TCO) G4/64
采购成本控制与供应商谈判技巧 协作性成本削减 Collaborative cost reduction H6/64
具备CEO思维的CPO首席采购官
供应商战略定位与关系管理SRM培训课程
战略采购管理咨询与培训机构 采购与其他部门之间的关联和联盟 3/5
战略采购管理咨询服务机构 新型的战略采购组织
采购管理角色变革 从成本降低的推动者转变为可持续竞争优势的创造者
Advanced Procurement Negotiation Skills / Training Courses Unleash Procurement Potential 4/4
间接采购/非生产性物料采购管理培训机构 采购与供应管理
采购应该合理应付成本Should Cost 分析与管理培训课程 利用产品的成本驱动要素模型分析合理应该成本
高级采购合同管理培训课程 固定资产资本项目和基础设施采购技巧
采购成本分析与管理培训课程 应用Should Cost应付成本去降低实际成本
高级采购管理培训课程:如何有效培养和提升员工工作的主动性
采购战略开发流程咨询管理与培训机构 需求捆绑 3/4
战略供应商关系管理SRM培训课程与机构 积极实施战略供应商管理 4/5
供应商战略风险管理:如何在不确定时期管理供应风险
如何提升沟通技巧/学习并掌握出色的沟通技巧的秘诀
Supply Management Capabilities Benchmarking Surveys & Assessments
Leaders Create Their Environment & Situation
Creating Value Through Procurement Outsourcing from China
Harness Cost Savings Opportunities Through Procurement Outsourcing
Strategic Sourcing & Procurement Outsourcing Management In Procurement Strategies: Manage Spend A3
Purchasing Demand Reduction Management In Procurement Strategies: Manage Spend A1
Purchasing Core-Cost Analysis In Procurement Strategies: Change Nature Demand A7-Kearney
Bundling Across Product Lines In Procurement Strategies: Manage Spend C1-Kearney
Buying Consortia In Procurement Strategies: Manage Spend B4-Kearney
Composite Benchmark In Procurement Strategies: Change Nature Demand C6-Kearney
Spend Transparency In Procurement Strategies: Manage Spend D3-Kearney
Visible Process Organization (VPO) In Procurement Strategies: Seek joint advantage with supplier E5-Kearney
Specification Assessment In Procurement Strategies: Change Nature Demand D8-Kearney
Bestshoring In Procurement Strategies: Leverage competition among suppliers F2-Kearney
Sustainability Management In Procurement Strategies: Seek joint advantage with supplier F7-Kearney
Linear Performance Pricing In Procurement Strategies: Leverage competition among suppliers H1-Kearney
Total Cost of Ownership (TCO) In Procurement Strategies: Leverage competition among suppliers G4-Kearney
Collaborative Cost Reduction In Procurement Strategies: Seek joint advantage with supplier H6-Kearney
The Grade of Titanium and Titanium Alloy
The world’s strongest fiber:DSM Dyneema® Super strong polyethylene fiber
China Leading TZM Alloy Powder/TZM Alloy Plate Manufacturer Provides TZM Alloy for Rotary Molybdenum Base Target for GE Hay:A04SXJD
Chinese companies have mastered large-size thin-wall magnesium alloy die-casting technology for laptop Hay:T02SZBY
Zimmer acquires biomet, creating the world’s second largest orthopedic company
China’s high corrosion-resistant titanium and titanium alloy tube manufacturer successfully mass-produces wide-width cold-rolled ultra-thin titanium strips Hay:A04YNTY
ZF Friedrichshafen AG completes acquisition of TRW
composites automotive leaf springs developed by China’s high-end composite technology company has achieved market breakthrough Hay:D02ZZSD
China Precision Stamping Auto Body Parts Supplier and Manufacturer: Auto Door, Hood,Radiator lower beam assembly
Plastic Omnium SA acquires FAURECIA Automotive Exterior Business
Tekni-Plex, a pharmaceutical-grade packaging and piping material manufacturer, invests $ 15 million in China to build a new factory that will produce medical-grade tubing and fittings, as well as pharmaceutical packaging-grade tubing, etc.
Chinese special steel supplier delivers high-precision silver bright steel wire to Toyota Hay:A02HGSG
Heraeus China Precious Metals plant breaks ground in Nanjing
Tepex brand continuous fiber reinforced thermoplastic composites from LANXESS subsidiary Bond-Laminates GmbH
Boeing’s President of Global Procurement Visits China Aviation Aluminum Manufacturer Hay:A03SDYH
China Leading manufacturer and supplier of automotive engine gear specially used bearing Hay:Q05QDTD
Arkema expands production of powder coating resins
Honeywell New insulation system with Solstice® liquid blowing agent Approved for LNG Carriers
Daimler Group invests 1 billion euros to strengthen automotive power battery business
Covestro launches UV-resistant plastic composites with excellent properties
Apollo Global Management LLC Proposed acquisition of Philips Lumileds
China`s “High-strength and corrosion-resistant stainless steel and its application” Accredited
BASF launches two new light stabilizers:Tinuvin® 880 and Tinuvin® XT 55
EU approves Chinese appliance giant Midea acquisition of German KUKA
Braskem’s U.S. UHMWPE plant is scheduled to be completed and put into production by the end of the year
SMIC Tianjin Starts Production Capacity Expansion into Single-Unit Maximum 8-Inch Production Line
Arkema Pebax Powered high-performance elastomer material for new Mizuno running shoes
Covestro launches a variety of environmentally friendly new materials at K show
Celanese acquires SO.F.TER. To enter engineering thermoplastics market
AkzoNobel Announces 2016 Third Quarter Results
Evonik clarifies no plans to sell its high-performance materials division
LANXESS at the K Show with antioxidants for aging protection
Lamborghini and MIT collaborate on new automotive carbon fiber materials
Swedish metal 3D printing giant Arcam opens second metal powder plant
China Power Battery Materials Manufacturer and Supplier:Xiangtan Electrochemical plans to invest 30 million yuan to prepare ternary materials
Continental Group’s automotive interior material business:Benecke-Kaliko will acquire Hornschuch
China Special Alloy Group Would Start 40,000 Tons High Performance Aluminum Alloy Bar Project Hay:A03NJYH
INVISTA Shanghai nylon 66 production base successfully put into production
Russia’s Vi Holding Group invests in a high-precision aluminum alloy rolled product manufacturing plant in China
China Leading Manufacturer and Supplier For High performance electronic copper foil for high frequency and high speed PCB Hay:A03TGTB
China High temperature casting alloy manufacturer and supplier:Breakthrough in casting technology for key components of commercial aviation engines Hay:T02GZAJ
Solvay Introduces New High-Temperature Material Technyl® REDx
Covestro develops new medical polyurethane materials Baymedix
SABIC invests in expansion of foam and lightweight materials
China Chemical company Daqing Refining & Chemical became the first domestic manufacturer of special materials for low temperature heat sealing film
Evonik Introduces New Leveling Additives TEGO® Flow 375 and TEGO® Flow 460 N for Cans and Coil Coatings
Jotun Anti-corrosive coating enters the China Western Plateau region to provide coating solutions for Wenma Expressway Bridge
China Special Aluminum Co., Ltd. Passes NADCAP Special Process Certification Annual Supervision Site Audit
NBJZ Technology is successfully IPO, to be China’s largest specialty TET-LCD optical films manufacturer
Solvay Introduces MMI® Technyl® Analog Design Services, Leading a New Future in Automotive Functional 3D Printing
Evonik intends to expand production capacity of ROHACELL functional foam
Sigmatex develops new recycled carbon fiber reinforced resin-based composites
Dow launches latest oxygen barrier Recycle Ready technology
1.064 billion mergers and acquisitions show that Feikai Materials’ three acquisitions accelerate the layout of new materials
Covestro and DSM launch innovative wood coating solutions
DuPont and Dow merge again, face wave of EU opposition
DSM uses ultra-high molecular weight polyethylene carbon fiber Dyneema for bicycle manufacturing
LED automotive lighting module maker Laster Tech gains 20% market share in China
China Leading Automotive fasteners manufacturer and supplier, Ultra-high-strength, over-sized, and ultra-corrosive industrial fasteners Hay:U07SHJY
The most heat-resistant materials in the world: Tantalum Carbide (TaC) and Hafnium Carbide (HfC) can withstand high temperatures of nearly 4000 degrees
China Manufacturer and Supplier of Oil drilling equipments:Top drive system, Rotary steerable drilling,Casing running system
China Leading Manufacturer and Supplier of Ultra-hard micro-nano material cubic boron nitride abrasive and cubic boron nitride Cutting tools Hay:B01ZZFN
China leading outdoor lighting, LED road lighting, and LED indoor lighting manufacturer and supplier
BASF acquires Rolic AG specializing in light management for LCD / organic light emitting diode displays
BASF launches Lupranol, a new polyether polyol product that helps reduce volatile organic compounds in cars
Covestro medical grade polycarbonate makes membrane oxygenators safer and more durable
China’s capture of T-1000 carbon fiber technology will promote application in industrial materials
Intel bets on autonomous driving to buy Mobileye for $ 15.3 billion
Siemens and Bombardier are negotiating for a combined train business, Powerful alliance against CRRC
Graphene composites behind the world’s lightest graphene watch
Reflective materials: different application fields of glass microbead reflective film and microprism reflective film
China leading Superalloy, Shape Memory and Superelastic Alloys / Constant / high Elastic Alloys, Thermobimetal Strips, Low Expansion Alloys manufacturer’s layered bimetallic composite material to achieve localization Hay:F05BJBY
DuPont Performance Materials launches three filamentous DuPont ™ Hytrel® thermoplastic elastomers, DuPont Zytel® nylon, and DuPont Surlyn® ionomer performance materials for 3D printing
EU antitrust authorities will approve chipmaker Broadcom to acquire Brocade for $ 5.5 billion
Kurz Group, a globally recognized leader in hot stamping technology, appears at CHINAPLAS 2017
Solvay Hyflon® PFA helps Chinese companies successfully develop energy-efficient and reliable 600 MW MGGH heat exchangers for large coal-fired power plants
China’s leading tantalum powder and tantalum wire manufacturer releases superconducting niobium plate and niobium-titanium alloy Hay:A01NXDF
Zecote, LT-Pyrkal, and the Institute of Physical Chemistry join forces to develop a powder metal alloy for 3D printing
Bosch China’s first by-wire system iBooster production base settled in Nanjing
Constellium’s new Mexico plant to produce automotive structural components
BASF and DBC launch the world’s first IAAF-certified full polyurethane structure E-TPU runway
China’s super steel /microcrystalline steel/D&P Steel has achieved commercial production and the yield strength has reached the world’s strongest 2200 MPa!
China Electrodeposited copper foil Manufacturer and Supplier increases investment in electrolytic copper foil for power batteries, focusing on 6μm/8μm products Hay:A03NDTB,
China finds rare and precious metal of super metal-plutonium: global proven plutonium reserves are only 2,500 tons Hay:A04CDHY
Veeco delivered multiple TurboDisc® EPIK® 868 Gallium Nitride (GaN) Metal Organic Chemical Vapor Deposition (MOCVD) systems to several leading Chinese LED manufacturers
China High-end titanium and titanium alloy manufacturer and supplier Hay:A04PGJT
China Leading Manufacturer and Supplier of Rare metals and their compounds: selenium, tellurium, indium, gallium, germanium Hay:A01GDXD
BASF develops Ultramid Vision, the first translucent polyamide
Huntsman unveils ARA®Cool series low temperature curing agent products at 2017 China International Coatings Show
CCS issues BGTG Special Steel Group with LNG marine Invar approval certificate
China Manufacturer and Supplier of Single and double-sided high thermal conductivity metal-based printed circuit boards for LED lighting, silver and gold-plated COB boards, 3D bendable aluminum substrates Hay:I04XMLD
Evonik, a specialty chemical supplier, at the 2017 China International Coatings Show
Hennecke, the world’s leading producer of polyurethane foaming machines and production lines, acquires OMS Group, a polyurethane processing industry
Magnesium-aluminum alloy precision die-casting projects for lightweight automotive, aerospace, rail transportation parts and consumer electronics are put into production Hay:T02AHYY
Evonik puts on smart coatings for microbial stealth on ships
China Precision Automotive Stamping Dies Manufacturer & Suppliers
China Manufacturer for T1000-class ultra/Super high strength carbon fiber project Passed technical appraisal Hay:D01ZFSY
Chinese organic polymer material manufacturer establishes joint venture with Akron Polymer Systems, Inc. to overweight optical film material project
China Advanced Ceramic Materials Manufacturer releases “Phoenix” series diamond crystal Zirconia ceramic mobile phone back cover material Hay:B01CZSH
Evonik’s PLEXIGLAS® molding compound creates ideal lighting
China Leading Manufacturer and Supplier for Special seamless steel pipe and tube:seamless stainless steel pipes & tubes and welded steel pipes & tubes Hay:A05CZWJ
China Leading Manufacturer and Supplier for Electronic Ceramic Dielectric Materials, Structural Ceramic Materials (Nano-sized Zirconia and Alumina) Hay:B01SDGC
3M’s Filtrete brand launches first Bluetooth-enabled residential air filter
Chinese high-end copper foil manufacturer successfully mass-produces ultra-thin peelable copper foil Hay:A05GZFB
Chinese power battery material manufacturer: plans to invest 200 million yuan to build a lithium battery cathode material base project
ABB new power distribution cabinet equipped with China copper clad aluminum composite busbar Hay:D04YTFX
Chemetall becomes BASF’s new global brand for innovative surface treatment technology
Leading Aero Engine and Gas Turbine Superalloy Precision Components and Parts,Titanium Aluminum Alloy Blades,Superalloy master alloy Manufacturer and supplier Hay:A04AHYL
Evonik launches new acrylic-based foam core ROHACRYL for composite sandwich designs
BASF launches high-performance applications based on Elastollan TPU
Why barium titanate is called the pillar of the electronic ceramics industry
BASF’s Ultrasim® simulation tool application expands further
Evonik plans to build a new polyamide 12 integrated production unit, which will increase production capacity by 50%
Quartz manufacturing process: natural and synthetic quartz have their own characteristics
China has developed a new type of thermal insulation and fireproof dual-network composite aerogel
Carbon fiber composite material components, dry and wet process production efficiency is relatively high, production costs are lower
Huntsman develops new additives ELASTAMINE® XPM designed to enhance the coating properties of polypropylene
DuPont Surlyn® 3D Overmolding Technology
Solvay’s high-performance polyamide Technyl® advanced materials solutions provide superior electrical protection for new energy automotive components
Chinese high-end chemical and electronic materials manufacturer TONGYI wins Lotte advanced materials best partner award
Laser welding of stainless steel and carbon fiber composite materials
Damage and Repair of Aviation High performance fiber reinforced Composites
DuPont Security & Construction Introduces FlexWrap EZ Tape
Cristex Composites reports that its partner Saertex has recently introduced a defect control system for carbon fiber multiaxial fabrics that helps improve the quality of its materials
BASF puts into operation electronic grade sulfuric acid / H2SO4 plant in China
AMERICHEM acquires PRECIENT COLOR from India, expanding global business
Global leading biodegradable magnesium alloy pipes,rods,and wire manufacturer formally put into production of Biodegradable Magnesium Alloy Stents Hay:P01JPBE
Corning showcases Corning pharmaceutical glass packaging solutions at CPhI 2018
BASF develops anode active materials to help shape the future of electric mobility
DSM Dyneema:manufacturer of ultra-high molecular weight polyethylene fibers/UHMwPE and composite unidirectional laminate:UD a composite laminate that improves energy absorption and protection as a hard and soft bulletproof materials
Teijin breaks ground on U.S. carbon fiber infrastructure
Linde and Evonik reach strategic cooperation in the field of natural gas membrane processing
Lithium-Ion Battery Makers That Don’t Need Cobalt
Dow to launch new SILASTIC fluorosilicone rubber for automotive turbocharger in China
China Aerospace Science and Technology 3D Prints Multiple Complex Shaped Aluminum Alloy Structures for On-Orbit Applications
China special zinc alloy: new five-element zinc-based zinc-aluminum-magnesium alloy successfully developed Hay:A03ZZYL
Chinese monocrystalline wafer, polycrystalline silicon material manufacturer signs a 90,000-ton polysilicon order with TBEA’s Xinte Energy and Xinte Crystal Silicon
German packaging company Gerresheimer closes its Swiss plant
Bamboo fiber, biosteel, micro-lattice, 3D weaving … high-performance new materials and technologies in the aerospace field
Rethink Robotics launches collaborative robots: adapting to plastic packaging needs
Arkema Bostik has completed the acquisition of Nitta-Gelatin’s industrial adhesives business in Japan through its joint venture Bostik-Nitta.
Teijin Frontier acquires German automotive interior materials company J.H. Ziegler
Sumitomo Mining battery material delays lithium nickel oxide expansion
China Leading Supplier and Manufacturer for PCM appliance color coated Board, PCM architectural color coated Steel Sheet, VCM coated Steel Sheet Hay:A05SZHM
Teijin acquires German company Ziegler, a leading manufacturer of non-woven seat fillers and sound insulation composites
Axalta launches its Eleglas glass and ceramic coatings portfolio
Chinese silicon carbide crystal material manufacturer develops new silicon carbide crystal material for LED lamps Hay:B01SDTY
Midwest Prototyping acquires Tenere to expand 3D printing services business
YingTang 75 million yuan participated in the establishment of a new company layout 3D curved screen
Chinese smartphone component maker Holitech to invest 200 million in India to accelerate overseas market expansion
Shell invests in electric vehicle charging pile startup Ample to advance into electric vehicle field
BASF thermoplastic polyurethane elastomer Elastollan® TPU, ideal for shoe support
Covestro launches new brand name Maezio ™ CFRTP for its thermoplastic composite CFRTP
3D Systems, Materialise, Stratasys … The 3D printing industry giant’s financial report summary for the second quarter of 2018
China’s advanced and high-performance materials research institute makes breakthrough in the development of high-quality silicon carbide ceramic precursors
Chinese auto parts manufacturer plan to acquire German car interiors and driver and passenger seats system manufacturer GRAMMER AG
China Leading lithium ion battery separator company invests 300 million to build microporous separator Hay:N02NMKJ
Pellion Technologies claims that it has developed a lithium metal battery that has twice the power of a traditional lithium ion battery
Solvay, Premium AEROTEC and Faurecia Clean Mobility form Thermoplastic Composites Alliance, Thermoplastic composites consortium aims for high volume production for Automotive and Aerospace
Mitsui Chemicals & SKC Polyurethanes Co., Ltd joint venture “MCNS” celebrates production of Indian polyurethane plant
CNC precision machining for mobile phone metal case
Chinese graphene lead-carbon energy storage battery manufacturer develops graphene super battery
BOE is preparing for trial production of Micro OLED panels
Global plastic injection molding market expected to reach $233 billion by 2023
Solvay leads the Industrial Thermoplastics New Materials Alliance and strives to increase its share in the automotive and aviation industry
Kaneka Aerospace, LLC plans to produce high-performance thermoset resin prepregs in the U.S.
Design studios Ica and Kostika have recently designed a 3D printed high heel MyceliumShoe
Industrialization of large-size and complex-shaped silicon carbide ceramics and its application in chemical heat exchange
Precision mold maker Sansho Giken increases production of automotive parts, intends to replace engineering plastics with magnesium alloys
Toray high-tech Ultrasuede microfiber woven 3D non-woven fabric gives design unlimited imagination
Chinese special ceramics manufacturer realizes large-scale production of high-purity silicon nitride Hay:B01HYKX
German laser equipment maker Trumpf to launch new version of 3D printer TruPrint 3000 at TCT
Total investment of 5 billion yuan China’s first fully intelligent all-solid-state lithium-ion battery production line put into operation
Ignition of Basalt Fiber Production Project in Chi Kiln, China with an Annual Output of 8,000 Tons Hay:D01SCBX
Shipment of flexible AMOLED panels will exceed rigid panels by 2020
UT Austin R & D personnel join Uber Elevate technical team to create flying car technology
Haydale Supplies Graphene for World`s First Graphene Skinned Plane
German research and development of laser and compression molding process, using composite materials to make BMW 7 Series roof beam
China’s new energy power battery material manufacturer 10,000 tons of lithium ion battery cathode material production line put into operation
Kaneka Aerospace LLC to launch carbon fiber prepreg project in North America
Trelleborg Sealing Solutions pioneers polyurethane foam in racing headrests
A new type of thermoplastic composite material pre-forming technology and corresponding processing equipment developed by Korea EELCEE company called “QEE-TECH”
LyondellBasell Industries completes acquisition of Schulman aschulman, a manufacturer of high-performance plastic blends, composites and powders,
Discovery of New Magnetic Weyl Semimetal and Its Giant Anomalous Hall Effect
Micro LED: another major application opportunity of GaN-based technology
LED car lights have a bright future. Lianjia Optoelectronics revenue in the first half of 1.65 billion yuan
China Leading Manufacturer of Electromagnetic shielding material:Nickel-plated carbon fiber Hay:F05SZFR
China New Investment Project for Electronic ceramic powder material:Electronic-grade barium hydroxide, electronic-grade barium carbonate, electronic-grade barium chloride,barium sulfate,calcium chloride, titanium dioxide Hay:B01YCHH
Chinese rail transit coatings maker Feilu: waterborne coatings business accelerates
Chinese carbon fiber composites manufacturer JF Tech’s carbon fiber composites products have been successfully applied, and net profit in the first half increased by 46.46%
China National Magnesium Alloy Materials Engineering Technology Research Center Achieves Transformation Base Unveiled in Chengdu
Luxembourg cap maker UNITEDCAPS and Braskem launch bio-based plastic VICTORIA and PROFLATSEAL caps
Wohlers Associates, Inc annual report shows that metal 3D printing system sales surged by nearly 80% in 2017
Chinese reverse osmosis membrane, nanofiltration membrane, degassing membrane and electro-drive ion membrane manufacturer and Japan DIC establish cross-phase membrane joint laboratory Hay:F07ZHGJ
German chemical distributor Gustav Grolman expands sales of hardeners for epoxy resin processing under BASF’s Baxxodur brand
New laser technique binds aluminum with plastic in injection molding
China Leading Supplier and Manufacturer for 5G mobile phone copper cooling module, 0.4mm copper cooling module, copper cooling Hay:I01TWSH
Nanoscribe GmbH, the world’s leading micro-nano 3D printer manufacturer, officially enters the Chinese market
Fakuma 2018: Covestro to showcase powerful 3D printed shock absorbers
Global Market Insights, Inc. releases report: market value of lithium-ion battery market will exceed $ 60 billion by 2024
Corning exhibits at CIOE 2018 China International Optoelectronic Exposition and celebrates 75th anniversary of the launch of ULE zero-expansion glass
Chinese silicon carbide ceramics SDST company’s compound diamond wire saw and high-performance silicon carbide ceramics ultra-fine powder project selected as key industrial projects Hay:B01SDST
China Leading Manufacturer and Supplier for High density, high purity, high hardness, high strength alumina grinding disc Hay:B01JSZK
Nano Dimension, the world’s leading supplier of additive electronics, expands China’s circuit board 3D printing market
Fortune 500 medical technology company Stryker Corporation to acquire 3D printed orthopedic implant company K2M for $ 1.4 billion
German companies: developing motors with less rare earth materials
Celanese launches Hostaform XLE POM and Celstran XLE LFRT
German 3D printing company voxeljet AG adds PP and TPU to 3D printing material portfolio
Fraunhofer Institute for Materials and Systems IMWS and Brightlands Materials Center join forces to advance 3D printing of thermoplastic composites
Application of Lithoz high-precision ceramic 3D printing technology in Austria in the medical field
Chinese capacitor aluminum foil, lithium-ion battery aluminum foil and automotive heat exchanger brazing foil manufacturer establish joint venture with UACJ, Japan’s largest aluminum foil manufacturer Hay:A03DYGK
The global leading tantalum and tantalum alloy plates and foils supplier Hay:A03ZGYS
Hengli Chemical Fiber intends to implement a 200,000-ton-per-year high-performance automotive industrial yarn technical transformation project
Nederlandse Spoorwegen replaces 3D printed parts for trains
LG Chem raises battery production target by 29% in 2020 and cooperates with a large number of power battery material suppliers
China’s leading manufacturer of para-aramid fiber products,Aramid paper and aramid fiber-based honeycomb materials builds new production line Hay:D01ZZHX
Syneron Candela, the world’s leading beauty equipment company, announces acquisition of Danish medical equipment company Ellipse
Teknor Apex Introduces New Medalist® Compounds, New Medical Grade Thermoplastic Elastomers (TPE) for Peristaltic Pump Catheters
China Leading Manufacturer and Supplier for Electronic materials such as high-end barium titanate and barium carbonate for MLCC Hay:B02FJBS
Stratasys unveils 3D printed carbon fiber racing parts from Penske team
China ultra-high power graphite electrode manufacturer`s 40,000 tons needle coke project, target at ultra-high power electrode and lithium battery anode material
Carbon announces its first medical grade resin 3D printing material: Medical Polyurethane 100 (MPU 100)
Victrex and Exeter University Reach R & D Partnership to Promote Polyaryletherketone Application in Additive Manufacturing
Heraeus’s world’s most advanced precious metal plant officially opens in Nanjing, and precious metal recycling and precious metal chemicals production capacity will be increased to 3,000 tons
Volkswagen invests in QuantumScape to develop solid-state battery technology
Investment of about 3.05 billion yuan Longji shares plans to build 5GW high-efficiency single crystal battery project
Foster’s New translucent polymer compounds suited for medical applications that require visual monitoring
Toray will increase carbon fiber production capacity and target wind power and automotive demand
Basalt fiber fireproof cloth Hay:F03ZZDD
China Carbon Fiber Standardization Technical Committee Inauguration Conference Held in Nanjing
Powder coatings into key development areas of the coatings market
The world’s first iris recognition chip exposed: Rainbow Sense technology core QX8001 successfully taped out
Clariant Expands Masterbatches and Compounds REMAFIN® Plus for Medical Devices and Pharmaceuticals
Japan’s Sumitomo Metal will focus on supplying battery materials to Panasonic and Toyota, and vigorously increase battery cathode material output
University of Birmingham selects Renishaw RenAM 500M metal additive manufacturing system to accelerate 3D medical device development
Germany’s AZL company and IKV university and other studies on how to effectively apply thermoplastic tape to injection molded parts
French Saft company will produce next-generation lithium batteries in early 2020, increasing energy density by 50%
SABIC Signs Memorandum of Understanding with Clariant on Cooperation Intent
China’s power lithium battery material manufacturer Greenmy subsidiary plans to invest 1.85 billion in power battery ternary cathode material project
Chinese Copper clad laminate Manufacturer Starts Electronic Grade Fiberglass Cloth Expansion Project Hay:D01AHJR
China’s first SiC IPM production line put into operation
Chinese battery-grade lithium hydroxide manufacturer supplies Tesla
Clariant’s MegaMax® 800 was successfully produced at a CNOOC methanol plant
Mass production of China’s first large and wide graphene film coil will realize the application of graphene heating film in high and medium temperature fields Hay:H02NBRT
FMI Instrumed, a well-known contract manufacturer in the global medical device and implant manufacturing field, integrates 3D printing and traditional manufacturing technologies to expand implant production capabilities
AMSilk and Airbus collaborate to develop next-generation composite fibers for aircraft manufacturing
High-capacity carbon anode material can significantly increase the energy density of NIBs
For the first time, Airbus mass produced parts using 3D printing technology, making it lighter! Lower cost!
Teijin Japan to build world’s first advanced fiber-reinforced wood structure
New President for Amcor Rigid Plastics Eric Roegner Succeeds Mike Schmitt
Fakuma 2018: Polyplastics Japan, Innovation Leads Material Technology Revolution
Chinese carbon fiber material manufacturer and automotive carbon fiber prepreg manufacturer jointly create automotive carbon fiber composite material structural parts Hay:D02SZHT
China Leading Manufacturer and Supplier of precision harmonic reducer for robot Hay:U02SZLD
Osaka University Develops “Two-Step Method” for Photoelectric Thin Film Bismuth Sulfide (Bi2S3)
Ai Build, the UK’s largest additive manufacturing artificial intelligence and robotics company, launches AI-based automatic 3D printing
Morgan Advanced Materials develops new technology to shorten lead time for brazing alloys
Liberty House’s British company Liberty Powder Metals has secured a £ 4.6 million investment for special alloy metal powders for 3D printing of automotive parts
AkzoNobel announces sale of specialty chemicals business for € 10.1 billion
Chinese research team finds non-toxic copper oxide superconductor with highest irreversible magnetic field
Siemens trial run of world’s first 3D printed SGT-700 gas turbine burner ends
AkzoNobel Specialty Chemicals Establishes as New Company Nouryon
Manufacturers of ITO conductive film glass, vacuum coated glass, cover glass, and flexible film plan to build a CMP polishing and abrasive material project and a second phase project for mobile phone protection cover
GCL Power Battery PACK Production Base Settles in Zhenjiang, Jiangsu
china Manufacturer and Supplier of Lightweight and high-strength magnesium-lithium alloy material: magnesium-lithium alloy sheet, magnesium-lithium alloy rod (tube), magnesium-lithium alloy foil Hay:A03XASF
China Leading Manufacturer and Supplier of High Pure tungsten products, high temperature resistant tungsten wires, rare earth tungsten electrodes Hay:A01GZHF
Chinese automotive carbon fiber component manufacturer cooperates with the Carbon Fiber Composite Research Center of the Technical University of Munich, Germany to establish a European carbon fiber composite research and development center Hay:D02BJKD
Digital Metal introduces metal 3D printing automation production concept
Switzerland develops drug-loaded polymer fibers that can identify and treat themselves
Chinese power battery and aluminum case battery manufacturers cooperate with Huawei Watt Lab to develop silicon anode materials
Chinese graphene material manufacturer and anticorrosive coating manufacturer jointly develop graphene heavy anticorrosive coating
Graphene epoxy anticorrosive primer, graphene heavy anticorrosive coating market welcomes new opportunities
The world’s highest strength thin slab 2000 MPa hot-formed automotive steel is off the production line Hay:A02HGTG
Metal tungsten may be used as 3D printing material
Chinese special stainless steel manufacturer successfully develops high temperature resistant stainless steel material for CSP heat storage Hay:A02TGJT
Rolls-Royce to launch new aero engine supported by Additive manufacturing 3D printing and ceramic matrix composites solutions
Lithium Werks B.V., a Dutch battery manufacturer, has decided to invest US $ 1.85 billion in China to set up a new energy lithium battery super engineering project in the Yangtze River Delta region and set up a Chinese R & D base
Osram launches new generation of ENCELIUM intelligent lighting control system
Mitsubishi Chemical promotes PCM prepreg compression molding technologyCarbon fiber reinforced plastic roof reduces weight by 60%
Mobile phone 3D composite battery back cover: Comparison of optical grade PC / PMMA composite plate with 3D glass and metal CNC materials
Haydale intends to develop graphene sheet metal parts as an alternative to carbon fiber materials
Chinese carbon fiber composites manufacturer joins hands with Vestas to change the world wind power industry landscape
American Advanced Composites Manufacturing and Innovation Institute (IACMI) supports carbon fiber prepreg waste recycling project
Electric car startup Fisker solid state battery technology receives investment from Caterpillar, heavy construction machinery maker
Build Beichuan Al-Mg Alloy High-tech Materials Industrial Park
China’s first boron 10 isotope production line for nuclear radiation shielding in nuclear power generation and targeted therapy in medicine is laid
China Aviation Industry Composites company and Airbus signed a scientific research cooperation agreement, and the two parties will cooperate in the application research of conductive composite materials on aircraft
Chargestorm partners with Flowbird to launch multiple electric vehicle charging devices
China Leading Manufacturer of High-performance modified polymer materials: PP, ABS, PC, PC / ABS alloy, PS, PA, PBT, PPS, PVC, PVC / ABS alloy, TPE Hay:C02GDYX
LG Chem invests in Enevate bets on HD-Enetry technology to provide batteries for Porsche and Audi
Germany’s AZL Aachen will promote the application of composite materials in the furniture industry
China FAW acquires shares of German engine manufacturer Deutz and FAW in joint venture
Covestro launches first TPU based on carbon dioxide technology
Teijin, a major Japanese chemical material maker, will build a new plant in China to produce glass fiber reinforced plastics (GFRP) for automotive parts
Quartz Fiber & Fabric and Quartz Fiber Woven Products supplier Hay:B04HBFL
German photovoltaic equipment maker Sch signs US $ 430 million silicon material and energy storage technology letter of intent in Saudi Arabia
Future car company Hoversurf launches a revolutionary flying car made with 3D printed carbon fiber and metal: Formula
Silver nanowire graphene material is expected to achieve ultra-high-speed charging and long life of lithium batteries!
Sony will invest $ 5.3 billion in semiconductor business over the next three years for equipment investment in semiconductor businesses such as smartphones and image sensors for automobiles
China’s first high-purity titanium oral stent dual laser metal 3D printer is launched
Graphene heavy-duty anticorrosive coating helps marine economic industry development
Groundbreaking of Huntsman Composites Tianjin Polyurethane Plant
Toyota and Monash University researchers find new battery material improves battery stability and performance
Pioneer 3D-LiDAR sensor for R-Car, SoC (System on Chip) developed by Renesas Electronics of Japan
China Power Lithium Battery Material Manufacturer Newly Invests 14,000 Tons of Anode Materials Project
Verbatim, a subsidiary of Mitsubishi Chemical Holdings Group, announces the launch of DURABIO, a transparent bio-based engineering material that is 3D printed with FFF
Celprogen Inc. announces successful 3D printing of human brain organelles using brain stem cells
Using nanomaterials that respond to cancer-specific stimuli for targeted delivery of treatments and imaging compounds
Barnes Aerospace, part of the Barnes Group, announces the signing of a long-term agreement with Boeing Propulsion, a Boeing company, to produce innovative titanium alloy components for the Boeing 737 MAX model
Power semiconductor GaO begins to challenge GaN and SiC
Chinese PVDF fluorine film technology company breaks the monopoly of DuPont and Arkema in the field of PVDF fluorine membrane for photovoltaic Hay:C04HZFM
The first batch of forklift products equipped with CATL batteries and modules is officially launched
Danish high-end bicycle manufacturer Biomega and its first carbon fiber composite electric vehicle SIN debut at the China International Import Expo (CIIE) in Shanghai
Chinese ODM technology company Wingtech acquires Nexperia semiconductor for 26.4 billion
CTC Global and Gupta Power reach a settlement to produce carbon fiber composite core ACCC wire in India
Markforged announces that it has delivered more than 100 Metal 3D printing systems Metal X to customers worldwide
China’s special alumina ceramics manufacturer invests 2 billion yuan in new ceramic materials/microcrystalline alumina pipeline project in Inner Mongolia Hay:B01SDJG
TORAYCA expands new TORAYCA®MX series of high compression strength and high elasticity carbon fiber: M40X
Make buildings more energy-efficient, new film automatically reflects sunlight when heated
China-Ukraine Basalt Continuous Fiber and Composite New Materials Innovation Research Center unveiled and 50,000 tons / year rock wool project put into operation Hay:D01SXNT
Swiss fastener technology company Bossard Group acquires 49% of Swiss 3D printing service provider 3d-prototyp to expand 3D printing business
Stratasys reveals details of upcoming LPM metal printing technology
Seoul Semiconductor: Application of Natural Spectrum LEDs in Health Lighting and High-end Commercial Lighting
EnvisionTEC launches first DLP 3D printer with true 4K projector
5 billion yuan gallium nitride chip project settled in Chongqing
The third-generation semiconductor silicon carbide material project with a total investment of 3 billion yuan and a complete process production line project started Hay:B01SDTY
China’s five lithium battery and lithium battery material companies jointly invest 700 million yuan to build a lithium battery cathode material project
German 3D printer manufacturer BigRep showcases two new industrial 3D printers BigRep PRO and BigRep EDGE on Formnext, both based on the company’s new proprietary MXT extrusion technology
Materialise releases Magics 23 to optimize metal 3D printing
Leading developer of British optoelectronic technology solutions Plessey Semiconductor has purchased GEMINI wafer bonding system from wafer bonding and lithography equipment manufacturer EVG
GE Additive and HRE collaborate to create 3D printed titanium wheels
Chinese optical type polyimide film maker invests 1 billion yuan in new project Hay:C04WXCC
Hanergy’s Alta Devices flexible gallium arsenide thin film battery is used in the solar drone “Odysseus” of Aurora, a Boeing company
The new generation of fluid high-pressure forming technology overcomes the international problems of coexistence of wrinkling and cracking defects in the formation of ultra-large and ultra-thin curved surfaces.
China sets up national carbon fiber industry metrology and testing alliance
Evonik offers methyl methacrylate VISIOMER® HEMA-P 70M as a halogen-free, reactive flame retardant and corrosion inhibitor
AkzoNobel becomes exclusive producer of coil coatings in Pakistan
GKN Powder Metallurgy cooperates with EOS to industrialize metal 3D printing: Reduce production time by 70% and cost by 50%
Nestlé Waters North America Signs Significant Renewable Plastics (rPET) Purchase Agreement with CarbonLITE
Solvay adds medical-grade filaments to its 3D printed materials portfolio
3D Systems launches new metal 3D printers DMP Flex 350 and DMP Factory 350 and aluminum alloy powder LaserForm AISiMg0.6 at Formnext 2018 (A)
China carbon fiber composite material overall solution provider for aircraft Hay:D02SXJG
French Coriolis Composites automatic fiber placement system assists the development of 3D thermoplastic composite automation technology
China develops manganese-based lithium-rich power battery anode material in O2 configuration
3kW graphene-aluminum fuel cell successfully developed, Ningbo Institute of Materials and Zhejiang Graphene Manufacturing Innovation Center explore metal fuel cells
Lithium battery separator coating material: ultra-fine alumina powder material
Electrovaya develops lithium-ion ceramic diaphragm battery for electric passenger cars and commercial vehicles
China’s rare earth modified high-purity sapphire raw material pilot project was officially put into operation, and the first output of 5N (purity greater than 99.999%) high-purity alumina Hay:B01SHJD
Development status and trends of automotive carbon fiber composites
INVISTA launches new LYCRA FREEF! T technology makes denim and woven fabrics soft, easy to stretch and excellent recovery.
Volkswagen battery supplier SKI plans 6.15 billion new battery plant in the U.S.
SK Innovation to build U.S. EV battery plant, expected to increase production capacity by 11 times by 2020
Application of Fullerene in Biomedical Field
SGN and Pale Blue Dot collaborate on hydrogen
China’s leading Mini/Micro LED epitaxy and chip manufacturer invested 12 billion RMB to build a new R&D center and production base Hay:I06HBSA
Corning Precision Glass Solutions to supply semiconductor glass substrates in China
Covestro becomes largest shareholder of joint venture DCP company and continues to expand TPU business
Chinese carbon fiber thermoplastic material manufacturer successfully developed continuous carbon fiber reinforced polyetheretherketone composite (CF / PEEK composite) Hay:D02SHHH
Wuhan University of Science and Technology State Key Laboratory of Refractories and Metallurgy won the 2018 China Science and Technology Industrialization Promotion Association Science and Technology Award
Nippon Paint HD to acquire two Chinese paint companies
China Yunnan precious metal materials laboratory successfully developed a rare precious metal sputtering target
Chinese company and KEC hold signing ceremony for IGBT project
Covestro showcases innovative coating solutions at 2018 ChinaCoat
ASICS and Xiborg Tokyo Paralympic Games jointly develop “SPIKESOLE” carbon fiber composite prosthetic product for track and field
BMW M8 Competition features plenty of carbon fiber trim
Cobalt pilot project with BMW Group, BASF SE, Samsung SDI and Samsung Electronics to ensure sustainable supply of battery raw materials
Markforged accelerates Metal X shipments and has shipped more than 100 metal 3D printing systems
3D printing breaks through carbon fiber reinforced PEEK composite powder bed melt forming mechanism
Huntsman unveils green waterborne epoxy coatings, two-component waterborne epoxy systems and ARALDITE® waterborne epoxy solutions at the 23rd China International Coatings Show
CRP expands Windform product line and provides various 3D printing materials
Hexcel announces acquisition of ARC Technologies, America’s largest absorber supplier
SABIC expands ULTEM UTF120 thin film portfolio with 7 and 10 micron thickness grades for temperature-resistant capacitors
Some outstanding technical achievements in the field of advanced materials: the third-generation semiconductor silicon carbide new functional materials and power devices
China Automotive Precision Transmissions Gears Manufacturer & Supplier
New Microchip controller supports thick cover glass and multi-finger touch
Huntsman’s polyurethane composite technology exhibited at the center booth at Gent Design Exhibition
Refractory alloy high temperature anti-oxidation coating, high temperature heat shield, flexible thin film thermal control coating and low temperature multi-layer heat insulation components are applied to “Chang’e-4” detector
Chinese photovoltaic ultra-white rolled glass manufacturer cooperates with Chinese special glass manufacturer in the field of photovoltaic glass
China’s high-precision, high-performance copper alloy sheet and strip manufacturer invested 1.5 billion yuan to form a production capacity of 150,000 tons of high-performance new copper alloy sheet Hay:A03ZJWJ
ExOne Announces New X1 25PRO Adhesive Jet Metal 3D Printer
PolyOne: The new series of ultra-black colorants for textiles make blacks richer and deeper, meeting the needs of the global market
Boron Nitride Nano Thin Film Materials
Imec, Belgium`s Leuven Microelectronics Research Center, for the first time directly grows 2D materials on 300mm wafers and uses them to make metal-oxide silicon field effect transistor (MOSFET) devices
China leading color coated/prepainting aluminum/steel coil/Fluorine silicon sprayed aluminum sheet/aluminum composite panel manufacturer and supplier Hay:K01ZJQH
埃森哲:国际顶级战略管理咨询公司员工谈职业发展
Chinese special bearing steel manufacturer successfully supplies to world-class bearing manufacturers SKF,SCHAEFFLER, NSK, NTN Hay:A02JYXV
Peruvian precious metals producer Hochschild Mining acquires Chile’s rare earth project
Global fiberglass solutions company GFS announces wind turbine blade recycling solution
China successfully developed a new ceramic thermal barrier coating material for aero engines, with a maximum operating temperature of 1800 ° C
WACKER and Fuji Polymer Industry Co., Ltd. cooperate in the field of silicon-based thermal interface materials
WACKER strengthens specialty product portfolio and expands silicone rubber capacity globally
Application of rare earths in structural ceramic materials and functional ceramics
Tantalum metal successfully printed by fuse type electron beam metal 3D printer
Chinese wind power blade manufacturer obtains world’s first DNV GL structural inspection center certification
Russian carbon fiber manufacturer Umatex Rosatom signs strategic agreement with Italian motorcycle racing team Kawasaki Puccetti
20 new materials with super potential in 2019: artificial spider silk
Top 20 new materials with super potential in 2019: platinum alloys
Graphene aerogels:Top 20 new materials with super potential in 2019
Power semiconductor gallium oxide (Ga2O3) challenges SiC and GaN
New process additive produced by Evonik makes waste tires a modern and durable road construction material
MRF: 2018-2023 photovoltaic glass market compound annual growth rate will reach 34%
Lithium battery diaphragm manufacturer: intentional entry into flexible display, optical film profit margins may be greater than aluminum plastic film
China’s ultra-fine nano-barium titanate powder, high-purity ultra-fine alumina and nano-zirconia invisible champion company Hay:B01SDGC
Australian 3D printing equipment manufacturer SPEE3D says: supersonic 3D printing process can mix different metal powders for 3D printing
Solvay and Composites One Sign Distribution Agreement
Production process and application of alumina ceramic material
China accelerates development of high-temperature materials, aluminum alloys, surface engineering, titanium alloys and other aero engine materials
Teijin to set up carbon fiber business in Shanghai and Taipei
Magnetically responsive metamaterial instantly hardens 3D printed structures
The global leading superconducting NbTi and Nb3Sn wire supplier Hay:H07XBCD
The latest research has observed the superconducting effect of lanthanum hydride (LaH10) at a relative sweltering temperature of 250 Kelvin (-23 ° C), and the high-temperature superconductivity record has been broken again
Auricle reconstruction: Dutch researchers 3D print cartilage to evaluate new method of making ears
Breakthrough in high-quality and high-efficiency processing technology for weak rigid composite materials. Domestic ultrasonic cutting equipment successfully applied in China’s aerospace industry
China Manufacturer and Supplier for LCD glass substrate, LCD panel, cover glass, photovoltaic glass Hay:B04CHJT
Global leader in the field of microelectronics, acoustic and electrical devices and optoelectronic devices intends to invest 2.23 billion yuan in new projects in virtual reality devices, smart wearable devices, and smart acoustic products
Application of chopped carbon fiber filled thermoplastic and continuous carbon fiber reinforced materials in 3D printing
Volvo Polestar 1 body parts, including doors, hoods and trunk lids, are made of carbon fiber reinforced plastic (CFRP). The use of composite materials will be the main theme of innovation
International Automotive Components Group (IAC) and AGC Automotive Europe (AGC Automotive Europe) cooperate to develop an integrated glass surface as a display, combining innovative technology and advanced materials to develop a StreetSmart door concept
Dr. Timo Huber, Deputy Director, Institute of Polymer Engineering, Fraunhofer ICT,Formally became the Deputy General Manager of the Advanced Composite Technology Center (ACTC)
Controllable construction of nanostructures to regulate and improve the thermoelectric properties of polymers and their composites
Solar polycrystalline silicon material, Czochralski mono-crystalline silicon wafer manufacturer raises 5 billion yuan to vigorously develop silicon wafer business
China’s ultra-low thermal conductivity vacuum insulation panel manufacturer plans to expand production of vacuum insulation panel by 249 million yuan Hay:F03FJST
“Key Technologies for Manufacturing Lithium Iron Phosphate Battery and Its Application Process” project won “2018 National Science and Technology Progress Award”
Asian household goods manufacturers using Milliken Millad® NX ™ 8000 Clear to produce polypropylene products can use the UL Green Label
Nippon Steel Corporation and Kobe Steel have developed titanium alloys for automobiles, which will be used for exhaust mufflers, engine valves and other accessories
McLaren unveils preview of 600LT convertible version carbon fiber and Alcantara fabric create a fighting atmosphere
Low temperature resistant fluorine rubber material for China aerospace industry won the silver prize in the innovation group of the 2018 world new material innovation and entrepreneurship competition
Chinese metamaterials manufacturer signs metamaterials ship stealth structure product contract
Functional devices such as adhesive, fixing, shielding, insulation, buffering, heat dissipation, dust protection, protection Manufacturer IPO approved
PPG launches Spectracron 385 polyurethane primer
Samsung acquires Israeli company Corephotonics to strengthen multi-camera technology
Leading paper-covered gypsum board, decorative gypsum board, light steel keel manufacturer and supplier Hay:K06SDTS
TPI Composites, the largest manufacturer of composite blades in the United States, signs a multi-year supply agreement with Vestas Wind Systems A / S, the world’s number one wind power equipment manufacturer
Russian basalt fiber automotive modification manufacturer LARTE Design settles in largest technical center in Samara
Ford, IBM, LG Chem collaborate with Chinese lithium battery anode material precursors and cobalt new material manufacturers to use blockchain technology to track battery cobalt materials
Evonik introduces TROGAMID® myCX, a high-flow transparent polyamide molding compound suitable for optical applications
Natvar, an innovative global supplier of High-end precision medical catheter products
Leading carbon fiber composite manufacturer SGL and Airbus Helicopter draw up framework cooperation agreement to expand the application of composite materials in the field of helicopters
White River Marine (TAHOE Boats) Makes First Hull with Thermowood’s Massive Additive Manufacturing (LSAM) 3D Printing System
世界上最大销售和营销咨询公司:ZS Associates[致盛国际咨询]
Manufacturers of brake assembly, drive axle assembly and transmission parts invested 1.2 billion yuan to establish a semi-solid aluminum alloy casting production project
全球最大的环境咨询公司:ERM简介
High-precision ceramic 3D printing technology Lithoz company in Austria and Alfred University cooperate to build advanced ceramics research center
Aluminum plastic film for batterIes
Micron-sized aluminum powder and graphene oxide composites can improve the energy performance of aluminum powder
VENCOREX’s TOLONATE series plastic material products meet GMP requirements
Teijin strengthens aircraft high-performance composite business and continues to supply carbon fiber to Bombardier
Electronic materials company Versum Materials and Entegris merge
North Coast Composites announces $ 99 million long-term agreement with Unitech Aerospace
Braidy Atlas LLC builds the world’s leading aluminum processing company: aluminum alloy strip rolling mill, specializing in the production of Autor-Body-Sheet, high-strength aluminum alloy sheet for automobile bodies
High-performance polyimide film manufacturer invests 11.5 billion yuan to build advanced polymer polyimide film, photovoltaic materials, and graphene materials projects Hay:C04SZHR
Hierarchically porous titanium nitride: improve lithium-sulfur battery performance
Hexcel develops carbon fiber prepreg patch technology for aluminum alloys
Ford uses graphene-reinforced polyurethane foam for automotive parts
High-performance polyetheretherketone thermoplastic composite Victrex invests in Surface Generation
Toshiba Infrastructure Systems & Solutions to collaborate on lithium titanate power batteries at Johnson Controls Power Solutions (JCI)
Aerospace special precision aluminum alloy component manufacturer will build aluminum alloy deep-processing product base, mainly producing aluminum alloy body-in-white, car parts, aluminum alloy rail vehicle compartments Hay:A03LNZW
Covestro partners with Sika and Sonnenwagen teams for solar car challenge
Solvay teamed up with Brazilian fitness apparel brand Alto Giro to develop a range of high-tech clothing that combines the functionality of smart polyamide yarns with a technology that can charge a mobile phone while exercising
Porcher Industries promotes 5G communication technology applications for thermoplastic glass fiber composites, Developed a new Satcom radome for Meggitt
Chinese 3D printing equipment manufacturer releases Flight ™ polymer fiber laser sintering technology
China’s first kilometer-class high-temperature superconducting cable demonstration project starts in Shanghai
Ternary cathode materials: NCM (lithium nickel cobalt manganate) and NCA (lithium nickel cobalt aluminate)
Aurora and others team up to explore 3D printed titanium medical implants for humans
Corning develops 0.1mm bendable glass: folding screen phone will be thin and durable
Teijin Group acquires Renegade, the world’s leading manufacturer of high temperature resistant thermoset prepregs for aviation
Teijin Automotive Composites Group has developed a multi-material composite car door concept. This multi-material side door module is made of carbon fiber sheet molding compound (SMC), glass fiber SMC and unidirectional glass fiber reinforced plastic (GFR
Rare metal materials,shape memory alloys rings, titanium alloys wire, zirconium, hafnium, tungsten, and molybdenum manufacturer and supplier Hay:F05BJYY
China’s high-end lithium battery copper foil material manufacturer’s 4.5 micron lithium battery copper foil production technology has matured Hay:A03TLHC
Leading Subsea umbilical power cable, low-smoke halogen-free special ship cable and UHV power transmission project large section overhead conductor manufacturer and supplier Hay:F04HNHL
Solvay announced that Performance Plastic has selected its Torlon® PAI material as the material for the new EnduroSharp ™ blade family. This series is mainly used to remove hard materials on the surface of fragile fiber reinforced composites.
Sika acquires Belineco, Belarusian manufacturer of polyurethane foam
Major breakthroughs in key technologies for China’s high-steel grade large-diameter long-distance pipelines
AFRL has developed a customized direct inkjet 3D printing device, which can be used for 3D printing carbon fiber epoxy resin composite structural parts
Fuel Cell Power Systems manufacturer invest in Membrane Electrode Assemblies (MEA) Materials project Hay:N01GDDS
China makes important progress in the field of ultra-flexible nano-power generation composites
Evonik offers tailor-made solutions for epoxy and polyurethane polymer high-performance composites based on VESTALITE® at JEC in Paris this year
Leading high-purity sputtering targets,Ultra-high temperature alloy material tungsten molybdenum alloy sheet, tungsten molybdenum precision parts manufacturer and supplier Hay:A04LYSF
China’s first carbon fiber body full-power hydrogen passenger prototype developed successfully
Milliken to showcase polyurethane foam products at North American Foam Expo 2019
International power battery giant CATL overcomes key core technologies such as positive and negative electrode materials for power batteries, and develops samples with specific energy of 304Wh / kg
Toray develops bioabsorbable polymer to provide skin-like materials for regenerative medicine
Rogers Introduces ARLON® raPId Polyimide Substrate: New Solution to Improve Insulated Flexible Heater Process
Carbon beam revenue accounts for nearly 40% of China’s carbon fiber composite material manufacturers announce 2018 financial report Hay:D02WHGW
3D printed high-strength aluminum alloy powder developed by Chinese special metal alloy powder manufacturer reaches international advanced level performance Hay:H01HNHT
China’s leading consumer electronics precision structural parts and Chinese precision sheet metal manufacturers jointly invested 3 billion yuan to build consumer electronics precision metal structural parts projects Hay:T01CZCJ
With a total investment of 18 billion RMB, the aluminum precision deep processing industrial park is settled in Binzhou High-tech Zone, China
Application of titanium and titanium alloy materials in new industrial fields
China aluminum-magnesium alloy semi-solid die-casting automotive parts project was successfully completed and put into production Hay:T02HNHM
Manufacturer of high-performance graphite heat sinks builds new 3D glass and ceramic mobile phone backplane factory Hay:F03JSTY
Chinese scientists produce single-layer graphene nanoribbon materials: high-voltage and high-capacity structural fluorocarbon materials
Academician Lu Ke, Chinese expert on nano metal materials, won the 2019 Acta Materialia Gold Medal
BASF launches MasterTop 1327-20dB, a new seamless polyurethane flooring system material
German specialty chemicals manufacturer LANXESS is expanding its Durethan P series of polyamide 6 products, adding two high-strength materials as an economical alternative to polyamide 66
Mitsubishi Chemical plans to establish a new plant for the production of automotive interiors and thermoplastic elastomers
Covestro polycarbonate film provides security protection for security documents
Renolit Gor, a leading global supplier of automotive extrusion and thermoforming markets, introduces glass fiber reinforced polypropylene composites for automotive interiors
Chinese company invests in ultra-high water and oxygen barrier functional film projects, which can be used in OLED displays, solar photovoltaic, OLED lighting and quantum dot films
Invested 200 million Swedish Krona, SKF opens small tapered roller bearing production base in China
Global Lightweight Metal Matrix Composites (MMCs):Aluminum-beryllium metal matrix composites, Aluminum-beryllium composites, beryllium-beryllium oxide metal matrix composites, Aluminum-silicon-carbide composites manufacturer and supplier Hay:D04USMA
China successfully developed special polyurethane elastomer materials to fill domestic gaps
BMW teamed up with MIT to develop the first 3D printed inflatable material that will be used in future cars!
Covestro sees new opportunities in polymer and high-performance plastics applications in the automotive industry
Copper foil substrate and glass fiber cloth impregnated substrate (high-strength glass fiber products) manufacturer invested in new copper foil substrate and glass fiber cloth factory
Effects of different hot working processes on the structure and properties of TC11 titanium alloy and TC11 titanium rod
Austrian welding system manufacturer SBI releases plasma arc metal 3D printer
Composites company Rockwood and cabin solutions company HAECO announce that they will jointly develop a new composite aircraft seat called Eclipse
Lubrizol Shows Estane 3D Eco TPU for 3D Printing at Rapid TCT 2019
Biological substrate for thermal insulation composite material system
Application of high thermal conductivity mesophase pitch-based carbon fiber in 5G field
Solvay introduces CYASORB CYNERGY SOLUTIONS® M528 for injection and blow molding applications to the Brazilian market and CYASORB® CYXTRA® V9900 for automotive interior and exterior parts
China includes high-strength glass fiber as encouraged material in textile industry
A new R & D and production project for a Chinese special composite material manufacturer begins, mainly producing SMC sheet molding compound, aramid honeycomb composite, and carbon fiber composite
Use carbon fiber reinforced plastic to create a stronger metal structure
The continuous silicon carbide fiber invested by the continuous silicon carbide fiber and its composite material leading manufacturer can withstand 1200 ℃ high temperature Hay:D05NBZX
Clariant’s new phthalic anhydride catalyst OxyMax PA690 performs well at Indonesia’s Petrowidada plant
Specially designed graphene structure helps to produce hydrogen at low cost
Global coatings giant PPG announces completion of acquisition of automotive coatings manufacturer Hemmelrath
Application of carbon fiber composite materials in medical devices
Alpex, the world’s leading supplier of aviation and automotive composite parts manufacturing mold systems, officially enters China
Manufacturers of precision copper strips, copper conductor materials, and copper alloy wires acquire oxygen-free photovoltaic copper rods, high-precision copper wires, and tinned soft round copper wire manufacturers Hay:A03JSXH
China build aerospace material industrial park and plans to invite investment in 8 sub-projects such as carbon fiber composite materials and glass fiber reinforced plastics.
The Chinese R & D team conquered the thermal ink film technology, which is expected to solve the heat dissipation problem of mobile phones and computers!
China develops 16 square meters 3D printed engine titanium alloy reinforced frame
New nano-building materials: carbon nanotubes (CNT)
Russia’s Norilsk nickel industry’s nickel output in the first quarter increased 3.4% year-on-year to 56,000 tons
Application of carbon fiber composite materials/Carbon Fiber Modified and reinforced composite thermoplastic material Hay:C03JSFD
China Special Steel Group invests in automotive precision stamping parts and magnesium alloy die-casting projects Hay:T02BWJT
Processing technology and optimization of titanium alloy high-precision thin-walled parts
Corning Pharmaceutical Packaging Technology to showcase high-quality pharmaceutical glass tubes for the pharmaceutical packaging industry
New laser cladding alloy material project: high strength, high wear resistance, heat resistance, laser cladding alloy material completed and put into production Hay:H01QHZK
Gobal leading Carbon fiber reinforced nylon material 3D printing company:Stratasys
10 common applications of carbon fiber composite products
SGL and National Composite Center: NCC will use carbon fiber non-crimp cloth to develop carbon fiber composite wing
U.S. Polaris Corporation and Oberland Capital Reach $ 100 Million Financing Agreement to Improve Molybdenum-99 Production Efficiency
Henkel launches new silicon-free gap fillers to extend battery life in electric vehicles
Corrosion Resistance of Liquid Lead-Bismuth Strengthened by 9Cr Steel
Carbon fiber composite leader SGL Carbon develops carbon fiber reinforced plastic CFRP battery case prototype for Chinese electric car manufacturer NIO
GaN and SiC device demand soars, Cree Semiconductor will position itself as a more focused semiconductor leader in the future
Chinese carbon fiber composite material and high-performance modified plastic manufacturer successfully developed super-strong carbon fiber reinforced plastic Hay:D02JSXF
Leading special modified composites manufacturer develop super-strong carbon fiber reinforced composites Hay:D02JSXF
Electronic-grade high-strength glass fiber cloth and glass fiber yarn manufacturer invested in the production of electronic-grade glass fiber products Hay:D01AHTJ
GlobalData: Global battery energy storage market to grow to $ 13.1 billion in 2023
Application Progress and Product Research of Zn-Al-Mg Coated Automotive Sheets
China invents multi-head physical vapor transport technology and achieves high-efficiency silicon carbide crystal preparation
BASF plans to build Thermoplastic Urethane / TPU production unit at China’s second integrated production base
Preparation of graphene aerogels based on non-deacidified graphite oxide as high-performance supercapacitor electrode material
Preparation method of nano metal silicon carbide battery material with high metal content
Infineon acquires Innoluce to enhance autonomous driving sensor business
Covestro doubles production capacity to become world’s largest polycarbonate producer
China Company:Guoxing Optoelectronics: Super-sized single-crystal perovskite crystals have been produced
New production line of aluminum plastic film from lithium battery flexible aluminum film manufacturer is expected to reach 1.5 million square meters per month Hay:N04SZXL
Chinese new energy material manufacturer invests in 100,000 tons of lithium battery cathode material and lithium battery energy storage system project
Novel diamond film material electrochemical sensing electrode
About 2 tons lighter than carbon steel box body Chinese truck manufacturer builds ultra-light magnesium alloy box truck
Graphene creates high-performance olefin-carbon aluminum alloys, which can be used in aviation and high-speed trains Hay:A03SHXT
Chinese zeolite material leader and German zeolite nano-tech company DYNAMICROTECH GmbH agree
China’s high-performance graphene composite heat sink project is put into trial production, which can produce high heat flux graphene-based heat sink products 30 million pieces per year Hay:F03HEXC
Manufacturers of high-purity and ultra-high-purity rare earth materials, high-purity semiconductor materials, and new rare-earth alloy materials establish a joint venture with Toyo Electric
French Soitec acquires GaN epitaxial wafer material supplier EpiGaN for € 30 million
CHINAPLAS 2019: RTP modified thermoplastics, custom engineering thermoplastics, and Nylabond ™ 6091 and 6092 thermoplastic elastomers designed for nylon encapsulation
CHINAPLAS 2019: Chinese manufacturers of high-performance modified plastics and thermoplastic fiber composite materials for automobiles demonstrate low-emission, low-odor long glass fiber reinforced polypropylene composites for automobiles Hay:D02SHPL
HEXPOL TPE at CHINAPLAS 2019 to showcase Epeal TPE, a gasket sealing compound customized for the food and beverage industry
Solvay, leader of high-temperature materials, signs with Safran High-temperature materials long-term cooperation agreement
German rubber and plastics machine manufacturer KraussMaffei’s new LRX plastic sharp series linear robot
Based on powder SLS carbon fiber / epoxy thermosetting resin technology, 3D printed high performance carbon fiber / nylon / resin ternary composites: a new method of thermosetting short fiber reinforced polymer (SFRP)
China’s high-purity metal powder material manufacturer lays foundation for new high-purity reduced iron powder metal new material project Hay:A05HFYL
Discontinuous glass fiber, special glass fiber, microfiber glass wool manufacturer invests in corrosion-resistant glass fiber project Hay:D01WHAL
China develops super-elastic hard carbon aerogel material
German SLM Solutions Metal 3D Printing Shanghai Application Center officially opens
International Nickel Study Group: Global nickel market will have a supply shortage of 84,000 tons in 2019
The maximum critical temperature of superconducting materials at minus 23 ℃ is refreshed, one step closer to the room temperature superconducting target
China leading super wear-resistant and high-temperature-resistant ceramic: ZTA,TZP and Zirconia ceramic cylinder liner,ceramic cylinder liner special piston, ceramic plunger, sand mill liner, ceramic valve body & seat manufacturer and supplier Hay:B03HFJC
Chervona Hvilya, a Ukrainian manufacturer of electron beam melting (EBM) equipment, recently demonstrated its latest metal additive manufacturing technology xBeam at the RAPID + TCT exhibition.
Volkswagen to strengthen negotiations with Northvolt to jointly produce electric vehicle batteries
26 European teams form INTEGRADDE project team to jointly develop end-to-end solutions for metal 3D printing
Chinese company break through the application space of carbon fiber composite reinforcement technology
Tokyo Tsukasa International Co., Ltd. and Oriental Shiraishi Co., Ltd. have jointly developed a prefabricated PC (prestressed concrete) bridge deck “CFCC slab” made of CFCC® carbon fiber composite cable
Lithium-ion battery anode material manufacturer invests 500 million yuan for high-end graphite anode material projects Hay:N01SZXH
The nano-silver conductive film produced by the Chinese nano-silver ink and nano-silver transparent conductive film manufacturer can withstand bending more than 400,000 times and perform stably under a 1 mm bending radius test Hay:H02QZTC
A carbon supercomposite developed by Boston Materials, characterized by milled carbon fibers magnetically aligned in the “z” direction
Continental Structural Plastics develops carbon fiber reinforced thermoplastic compartments for GM pickups
Infineon acquires Cypress Semiconductor
SCHOTT launches lithium-aluminum-silicate Xensation® cover glass to upgrade glass protection screens for high-end smartphones
KURARAY: Will expand liquid crystal polymer (LCP) film “Vexter” business
LANXESS Adiprene LF technology enables injection molding of cast polyurethane elastomers
German CSI Entwicklungstechnik, Alba Tooling & Engineering, and Automotive Management Consulting (AMC) jointly developed four ultra-lightweight carbon fiber seats with four other partners
OmniVision announces new single-camera dual-mode automotive image sensor for driver condition monitoring and multiple visual applications
Chinese photovoltaic manufacturer develops N-type double-sided double-glass high-efficiency module for commercial production
Mitsubishi Rayon develops high-strength carbon fiber product MR70: strength 7GPa modulus 324GPa
Leading global aluminum alloy wheel manufacturer and Japan UACJ company jointly build automotive aluminum alloy component production line
SKC and Mitsui Chemicals’ polyurethane division joint venture MCNS plans to establish polyurethane production base in St. Petersburg
Graphene-biofilm structure provides new direction for biomedical research
B & J Specialty increases productivity of conformal cooling injection molds printed by 3D Systems metal 3D printers by 30%
Application of graphene-nano silver wire composite flexible transparent conductive film in the field of large-size flexible touch Hay:F04HFWJ
Global energy services company Shawcor replaces metal parts with 3D printed carbon fiber parts
The droplets are ready for direct solution processing to form liquid-metal nanocomposites/Eutectic gallium indium (EGaIn) for potential applications in soft robotics
Jotun Coatings supplies indoor water-based ultra-thin steel structure fire-resistant coating 60WB for China’s 8.6th generation thin film transistor LCD panel factory
Graphene filter film is a major breakthrough in the field of desalination
Growth history of China’s leading high-performance spandex, meta-aramid, para-aramid fiber manufacturer and supplier Hay:D01YTTH
China`s first 1000-ton high-performance polyarylene nitrile production line was put into operation Hay:C02SCNT
Tohoku University cooperated with Nipponham to successfully use the bone regeneration induction material OCP / Collagen (Bonarc) developed by Tohoku University for bone defect repair in the field of dental and oral surgery
Sharp develops a photosensitive film with clear adhesive layer that is easy to install and remove, and is ready to be officially launched within the year
Swedish battery startup company Northvolt has been jointly invested by the Volkswagen Group, Goldman Sachs, BMW Group, and Folksam Group in a $ 1 billion investment
High-performance thermoplastic elastomer, modified plastic, masterbatch manufacturer’s hydrogenated nitrile rubber project successfully put into operation Hay:C05SDDE
Professor Liu Zhiming, School of Chemical Engineering, Beijing University of Chemical Technology, was awarded the IAAM Medal (International Association of Advanced Materials Medal for the year 2019) by the International Advanced Materials Association (IAA
China leading NdFeB, SmCo, Plastic injection Magnets, AlNiCo and Magnetic manufacturer and supplier Hay:F05HZYC
The United States Department of Energy (DOE) Brookhaven National Laboratory has designed a new organic cathode material for lithium batteries that can achieve high energy density lithium batteries
Japanese printing company Gikodo Co. develops new technology to give plastics a metallic feel
China’s high-performance fiber materials technology level, the gap with developed countries has narrowed significantly
KraussMaffei Berstorff plans to build a 55,000-square-meter production and office complex in the Laatzen / Rethen Ost Industrial Park in Hanover
Metal 3D printing company VELO3D partners with Boom Supersonic to make metal 3D printing hardware for supersonic flight demonstrators
Volvo to build Polestar 1 with carbon fiber composites
The Ajayan team at Rice University has developed a layered composite material reinforced with high dielectric, high thermal conductivity and high temperature resistant aromatic polyamide fibers
Boeing demonstrates ultralight metal materials / “microlattice” expected to reduce deep space exploration spacecraft by 40%
Light alloy industry base project for ultra-slender variable-wall-thickness aluminum alloy casting, complex thin-wall anti-gravity casting, and high-strength heat-resistant rare earth magnesium alloy material casting manufacturer started Hay:T02SHHT
Hyosung and Saudi Aramco will jointly build a carbon fiber plant. Two companies plan to use Hyosung’s carbon fiber in Saudi Aramco’s automotive and renewable energy projects
New CEO of SLM Solutions Meddah Hadjar talked on Metal Additive Manufacturing and Aerospace Applications
U.S. finds cool battery material: new electrolyte additive helps batteries work at -40 ° C
China’s polyimide film / PI film manufacturer’s new microelectronic grade PI film project has been put into mass production, initially used for FPC substrate manufacturing Hay:C04AHGF
Polylactic acid replaces steel nail steel plate
China’s ultra-thin aluminum foil leading manufacturers lay out aluminum foil materials for new energy vehicle batteries Hay:A03YNHX
Permanent magnet ferrite motor tile magnet, speaker ring magnet, rare earth sintered NdFeB permanent magnet material manufacturer to create China’s largest ferrite permanent magnet material industrial base Hay:F05HNHT
Virginia Department of Transportation’s VDOT invests in CompositeAdvantage’s fiber-reinforced polymer fiber PILE system
K Düsseldorf 2019: Ascend Performance Materials LLC to debut with new specialty polymers
Brückner Group will show a series of packaging technology solutions such as single material film, special film, aluminum battery film, etc. at K Show 2019
Equipped with lithium iron phosphate battery, BYD Enviro400EV electric double-decker bus delivered to London
China’s high-performance fiber / carbon fiber technology level will lead the world around 2030
BASF’s Ultramid® Advanced high-temperature nylon portfolio empowers customers with innovation
China’s titanium alloy powder,high temperature alloy powder leading manufacturer and GE Additives jointly build Beijing Additive Manufacturing Innovation Center H01ZHMT
What are the key process factors for making transparent ceramics
Plastic Omnium, the world’s top automotive body module and automotive fuel system supplier, opens R & D center in China / Belgium
Continental Helps Chinese Automobile Manufacturers Improve Their Competitiveness
Toyota, Mitsubishi join hands with world-renowned fund AP Ventures to increase technology investment in Platinum Group metals (PGMs)
ELG Carbon Fiber (Coseley, UK) partners with British sailing team INEOS Team UK to provide recycled carbon fiber for rowing
Polymaker releases two fiber-reinforced nylon 6 3D printing materials, not afraid of harsh environments
TePEx dynalite continuous fiber reinforced thermoplastic composite sheet from specialty chemicals company Lanxess can be used to make large, highly integrated structural components for automotive lightweight design
Lanxess’s TePEx dynalite continuous fiber reinforced thermoplastic composite sheet can be used to make large, highly integrated structural components for automotive lightweight design
International automotive lighting system manufacturer Haila and China FAW join hands to deepen automotive lighting cooperation
Unilever launches environmentally friendly filling packaging for CIF, refillable, 100% recyclable
Polymer maker Covestro and 3D printing company Carbon collaborate to expand production of carbon fiber polyurethane liquid resin materials
DuPont introduces glass and carbon fiber reinforced 3D printing filaments: ZYTEL®3D12G30FL BK309 wire and ZYTEL®3D10C20FL BK544 wire
BASF’s high-performance flame-retardant plastic Ultramid® escorts autonomous driving technology
The European Composites Association (EuCIA), the European Chemical Industry Association (Cefic) and the European Wind Energy Association (WindEurope) will work together to promote the recovery of wind turbine blades
The Orca Group and G. Angeloni, ITT, Dynanotex, Impregnatex form the European Carbon Fiber Composites Group
Metal Matrix Composites (MMCs) Manufacturer invested copper-matrix alloy Hay:D04XASR
Manufacturer of high-precision electronic copper foil and copper clad laminates and Shanghai Jiaotong University jointly establish a high-frequency and high-speed (5-10G) copper foil and substrate material engineering research center Hay:A03GDCH
China’s Leading electromagnetic shielding film manufacturer and supplier successfully IPO Hay:F05GZFB
Key Materials for Display: Market Development of Optical Films for Backlight Modules and Optical Films for Polarizers
Continental and Knorr-Bremse collaborate to test commercial vehicle formations
Japan’s Anisotropic conductive film (ACF), optical elastic resin (SVR), optical film manufacturer Dexerials plans to expand its company’s market share in anisotropic conductive films (ACF)
The leading supplier of ultra-high precision and high-precision superhard/PCD/PCBN/CVDD cutting tools and superhard materials successfully IPO Hay:B06BJWE
Cummins may acquire Volkswagen Mann Energy Solutions for transaction price of 3 billion euros
Covestro’s Maezio Continuous Fiber Reinforced Thermoplastic Composite Laptop Case Can Reduce Carbon Footprints by More Than 70% Compared to Traditional Aluminum-Magnesium Alloys
AkzoNobel to acquire French aviation coatings maker Mapaero
Continuous carbon fiber shape memory composites improve 3D printing mechanical properties
High-end mold release maker Chemtrend introduces Ultra Purge ™, a new generation of screw cleaning materials
Global Leading Copper-Clad Aluminum (CCA) Bimetal Busbar and Wire Supplier of ABB and Schneider Hay:D04YTFX
Sidel: a global leading provider of PET solutions for liquid packaging acquires French PET mold maker Cognac Moules Embulages Plastic (COMEP)
Clariant agrees to sell its medical packaging business to a subsidiary of Arsenal Capital Partners
Samsung Likely to Switch from a Japanese Supplier to Local SKC for Colorless Polyimide that is used in the Galaxy Fold Phone
US Air Force funds Spirit Aerospace Systems to conduct composites research
Carbon fiber recycler Vartega expands its carbon fiber recycling business and product capacity
Asahi Kasei develops high-performance non-woven fabric that absorbs noise from automobile engines
MAHLE’s integrated thermal management system can increase EV mileage by 7% -20%
SKCKolonPI announced that it has started mass production of liquid polyimide paste (PI Varnish), and a new Polyimide Film / PI film production line will also start this month
China’s high-precision electronic copper foil manufacturer’s 5G communication material low-roughness RTF copper foil project achieves commercial production Hay:A03TGTB
Carbon fiber reinforced plastic (CFRP) spoiler, bonnet, and Brembo’s carbon ceramic brakes make the Toyota Lexus RC F model 70 kg lighter
China’s first carbon fiber composite aircraft Aurora SA60L approved to enter the U.S. market
German parts supplier Eisenmann has filed for bankruptcy protection
Chinese researchers prepare Yb: Lu2O3 transparent ceramics
High-performance basalt materials will usher in a billion-dollar market
Japan Ecological Insulation Film Co., Ltd. develops metal sputtered window film and Toray’s transparent nano-laminated film Picasus UV, both of them can reduce ultraviolet rays by more than 99%
Adidas uses Hypetex Textreme Spread Tow carbon fiber reinforcements composite materials to make the most powerful hockey stick
China’s only liquid crystal polymer (LCP) film manufacturer/maker expects mass production by 2020 Hay:C04NBJJ
SunPower shingle technology receives Chinese patent recognition
British foundry company Aeromet International’s patented A20X aluminum alloy powder has become one of the highest strength aluminum alloy powders for additive manufacturing on the market, with UTS exceeding 500MPa
AIT Bridges launches composite bucket girder bridge system
Nexen Tire opens new European plant
SZXY sold 153 million square meters of lithium battery separators in the first half of the year,Gradual release of separator capacity for wet lithium batteries Hay:N02SZXY
The era of 5G and AIoT is coming, global leading GaN power semiconductors manufacturers and market status
Continental Powertrain: More focused on electrification but not involved in battery production
University of Arkansas develops high-power, low-cost supercapacitor film electrode material
Microcrystalline foamed ceramic sheet has amazing effects on reducing energy loss
BASF develops flexible polyurethane foam Elastoflex W to improve driving safety
Graphene nano-silver wire transparent conductive film: helping the development of flexible electronics
Degradable “high-purity magnesium” bone nails jointly developed by China Liquid Metal, Zirconium-based Amorphous Alloy Materials Technology Company and Institute of Metal Research, Chinese Academy of Sciences have been approved for clinical useHay:H06DGYA
Continental AG announces acquisition of Italian thermoplastics specialist Merlett Group to expand industrial hose business
Rheinmetall will debut at the 2019 IAA Frankfurt Auto Show
High-performance composite materials may help humans to land on the moon again in 2024
BASF, Solvay and Domo Chemical reach agreement on acquisition of Solvay’s polyamide business
China leading manufacturer of cryogenic liquid turbine, liquid piston pumps, cryogenic liquid centrifugal pump series, submersible centrifugal pumps for cryogenic liquid, liquid couplings, air back couplings, cryogenic liquid vaporizer Hay:U04HZXY
Wacker Chemie AG Silane Modified Polymer Appears at CIAIE 2019, Leading Innovative Technologies for Environmental Protection in the Industrial Bonding and Sealing Industry
Advanced Materials Manufacturer Covestro Debuts at Shanghai International Automobile Interior & Exterior Fair
U.S. startup Group14 Technologies raises $ 14.3 million, research and development of silicon carbon anode materials can increase car charging capacity by 40%
ZEISS high-precision 3D scanning equipment: helping aerospace vehicles achieve higher quality standards
Breaking foreign monopoly situation China’s first autonomous wet double clutch mass production goes offline
Graphene nano-silver wire conductive film: a new era of capacitive touch
Teijin Group’s sheet-molded composite SMC double-wall shock absorber hood makes Ford quieter and enhances driving
High-precision, ultra-thin aluminum foil manufacturer’s high-end aluminum alloy welding wires exported to Europe broke, a new step in aluminum deep processing Hay:A03YNLY
Merck, the world’s leading materials company, partners with Universal Display (UDC), the leader in Universal PHOLED technology and materials
Carbide materials, tungsten and molybdenum products, tantalum and niobium products, and rare metal powders manufacturer promote the technological transformation project of high-end hard alloy rod profiles Hay:A04ZZYZ
China develops new graphene-based fiber sensor with minimum deformation detection limit of 0.01%
The auto industry is in a period of transformation. What are the development trends of the auto parts industry?
Carbon Fiber Leading Manufacturer ZOLTEK builds new carbon fiber felt / fabric production line to expand applications in the energy storage industry
SIS partners with FiberCore Europe, a global leader in InfraCore Inside technology for fiber reinforced polymer (FRP) bridges and gates to build FRP composite bridges in Australia
China’s leading manufacturer of heat-shrinkable materials,PTFE tubing:heat-shrinkable tubing,cold-shrinkable tubing, polytetrafluoroethylene tubing,environmentally friendly PE tubing,PVC tubing,fiberglass tubing,silicone tubing Hay:F03SZCY
Chinese high-end polypropylene manufacturer successfully commercializes ultra-high transparent metallocene polypropylene Hay:C02ZGSH
China 3D Printing Technology Co., Ltd. cooperates with Praxair to advance the application of Truform metal powder, a metal additive manufacturing material
GreenLight develops pilot scale process for lignin-based carbon fibers
Ultrafine silver (Ag) / Copper (Cu) grid film / Copper touch sensor film applied to flexible touch sensor Top manufacturer and supplier Hay:I01JSRX
Flexible transparent conductive film based on graphene and nano-silver wire empowers diverse interactions of flexible screens
Transparency Market Research: The market size of pharmaceuticals, bio-packaging, medical device packaging and medical supplies packaging was US $ 91 billion (82 billion euros) in 2018
Altair and Centre for Automotive Research announce the 7th Altair Enlighten Award in the U.S .:Ultralight car seat prototype wins “Future Lightweight” award
Heraeus: bullish on sensor opportunities in the electric vehicle market
5G copper clad laminate FCCL insulation material, Polyimide PI and Liquid Crystal Polymer LCP which one is better?
Magna electronics factory opens to boost ADAS component output
The fifteenth Automechanika Shanghai publicity kick-off meeting was grandly held
China’s top manufacturer of nano-ceramic particle reinforced aluminum matrix composite material,nano-ceramic aluminum alloy,Silicon carbide/SiC reinforced aluminum matrix composite Hay:D04AHTL
Existing problems and development prospects of advanced special precision industrial ceramic materials in automotive applications
Analysis of the development status and market structure of the superalloys/high-temperature alloys industry, the future market increase will mainly come from China
Aerospace large-size high-mold carbon fiber composite tubular bearing beams developed by manufacturers of high-performance structural composite materials and thermal insulation materials won the China Composites Expo Innovation Award Hay:D02JSHY
China Automotive Trim Systems accelerates development of smart cockpit systems
Solvay plans to increase production capacity of polytetrafluoroethylene ultrafine powder, Aromatic polymer and cross-linked low-smoke halogen-free flame-retardant cable compound, fluorine rubber and polyvinylidene fluoride
Teijin and AEV Robotics jointly develop lightweight automotive solutions
Starsky Robotics launches APIHutch to bring its autonomous trucking capabilities to market
SKC thermal insulation window film is launched, the first application of color correction function
Chinese cryogenic/LNG insulation composites manufacturer provides enhanced polyurethane thermal insulation panels for liquid cargo containment systems for China LNG ship project F03JSYK
Delphi Technology to collaborate with Cree on automotive silicon carbide equipment
China’s nylon modified engineering plastics leading company and SAIC jointly set up an automotive lightweight composites innovation laboratory Hay:C03NJJL
DSM partners with Briggs Automotive to tap the potential of additive manufacturing in the automotive sector
SK siltron to acquire DuPont SiC wafer business
Manufacturers of aluminum alloy bumper beam, DCT300 transmission housings, and battery boxes for hybrid systems at the Frankfurt Auto Show 2019
Great Wall Motors brings four major component brands to the 2019 Frankfurt Auto Show
Chinese technology company plans to invest $ 25 million in Israeli ceramics and Nano Partical Jetting NPJ nanojet 3D printed metal additive equipment manufacturer Xjet
Chinese release film manufacturer builds new production project of optical grade BOPET film and CPP protective film Hay:C04ZJJM
Toray develops “& +” new commercial fiber, creating a new world of high value-added fiber derived from waste PET plastic bottles
China’s largest manufacturer of vermicular graphite cast iron diesel engine cylinder blocks Hay:T02SDWC
Graphene heat dissipation technology solves the problem of high heat generated by electronic components
CoSmA (intelligent device integration entry system) solution for Honda e models
Huntsman subsidiary DEMILEC launches spray polyurethane foam insulation products Heatlok®HFOPro®,Heatlok®XT,Sealection®500和Agribalance® for the Middle East market
Japanese special glass maker AGC builds new plant in Suzhou to produce 3D / complex shape screen glass for automotive displays
China leading aero-engine component,Aviation Engine Compressor Blade,Integral Blade Disc,Medical Joint Implant Precision Forgings manufacturer and supplier Won the “Best Supplier-Practice Commitment” Award at the CFM Supplier Conference Hay:T03WXHY
Automotive materials are developing towards a mix of multiple materials, steel is still the main material
Manufacturer of high-precision electronic copper foil and copper-clad laminates invests in an annual output of 8,000 tons of high-precision electronic copper foil Hay:A03GDCH
Cree Announces Production Expansion Plan to Fully Increase Silicon Carbide (SiC) and Gallium Nitride (GaN) Production Capacity and Build the World’s Largest SiC Manufacturing Plant
Global leading supplier of low-oxygen metal chromium powder for copper-chromium contact materials and chromium-zirconium-copper alloy materials Hay:A01XASR
Lear, a global leader in automotive seat and electronic and electrical system technology, has won four J.D. Power seat quality awards
The research team of the Electrical and Medical Device Research Center of the Korea Electric Research Institute (KERI) has developed a technology for surface treatment of titanium using femtosecond lasers
China researches and prepares NCM ternary lithium battery cathode precursor materials and new technologies of high-purity nickel, nickel sulfate, cobalt sulfate, metallic cobalt, and nickel hydroxide
The US Army Research Laboratory has developed a lightweight combat armor: a new technology combining ultra-high molecular weight polyethylene and silica nanoparticles to prepare new materials for body armor
Chinese semiconductor precision component manufacturer’s new semiconductor etching machine precision component project officially put into production
U.S. safety science agency UL establishes electric vehicle battery laboratory in China
Cummins and Hyundai Motor collaborate on hydrogen fuel cell technology
China Construction Waterproof Material Technology Group puts into operation a production line of 100,000 tons of polyurethane waterproof coatings Hay:K02BJDF
Robert Bosch develops new sensors for flying cars
Mitsubishi Chemical has developed PAN-based carbon fiber with a diameter of 10μm
Ford Plastic-metal Hybrid (PMH) automotive structural parts honored the American Society of Plastic Engineers SPE 2019 Hall of Fame
Vitesco Technologies uses electric drive technology to help Peugeot Citroen and Hyundai’s new production models
K 2019: Covestro will showcase TPU materials for 3D printing of insoles
Pierburg, part of Rheinmetall Group, receives order for new electronic steam pump
Cypress Semiconductor Technologies Announces ACG1F, Its Newest USB-C Controller
Manufacturers of oversized aluminum profiles and fused cast aluminum materials for aviation won 26 orders for subway aluminum profiles Hay:A03SDYH
Australian rare earth company Arafura will supply praseodymium oxide to Chinese manufacturers of high-performance NdFeB permanent magnet materials and samarium cobalt permanent magnet materials Hay:F05BTTH
Chinese researchers have developed flexible barium titanate ceramic nanofiber membranes
Montefibre Carbon receives 5.6 million euros from the Spanish government and will continue to expand carbon fiber precursor
BASF and HYMER join forces to create VisionVenture concept RV to be showcased at 2019 K show
Chinese carmaker Geely and Volvo discuss merger of engine business
Wind turbine blade pultruded carbon fiber composite sheet manufacturer builds new thermoplastic continuous fiber composite production base in Taiwan, China Hay:D02GZAF
Carlsberg partnered with innovative packaging companies ecoXpac and BillerudKorsnas to produce the world’s first “paper” beer bottle using recycled PET and bio-based PEF materials
Continental’s China plant expansion project officially put into operation
Clariant, ExxonMobil and Plastivaloire collaborate to develop new color series
Woodbridge to acquire all shares in China’s molded polyurethane foam joint venture
WACKER showcases liquid and solid silicone rubber used in 8 areas at K 2019
Chomarat Group (China) has developed a sewing unidirectional (UD) glass fiber reinforced material suitable for mass production of automotive leaf springs
Chinese carbon fiber composite auto parts manufacturer brings newly developed carbon fiber auto parts to 2019 ALCE Hay:D02GDYT
GM strikes, affects car seat supplier Adient’s Americas business
Magna China’s First Electric Drive System Begins Production
International power battery giant CATL officially lays ground on battery factory near Erfurt, Thuringia, Germany
Gentex Corp. International Leading Manufacturer of Anti-Glare Mirrors,Q3 net income slightly increased by 1% but annual revenue forecast is lowered
Schreiner ProTech develops thin film heaters based on printed electronics to de-ice ADAS sensors
Schaeffler officially lays ground for new base in Taicang,China
Hydrogen boride nanosheets can be used as lightweight and safe hydrogen storage materials
Israeli electric vehicle startup REE collaborates with Hino Motors Japan to develop in-wheel motor technology
Lamborghini carbon fiber composite material is about to log on to the International Space Station for testing
Global power battery giant CATL achieved net profit of 3.464 billion yuan, a year-on-year increase of 45.65%
ZF Friedrichshafen AG Central Electric Drive CeTrax wins Innovation Award at World Bus Expo
Magna wins largest transmission contract from BMW Group
Autoliv upgrades power outage safety protection solution to solve new energy vehicle safety issues
BMW / Ford / Bosch invests in flexible circuit maker CelLink for electric / connected cars
Dana Holding Corporation,Global leader in driveline, seals and thermal management systems, Q3 net income growth 17% lowered full-year forecast
REIN4CED opens carbon fiber bike frame production plant in Belgium
Chinese leading Commutator manufacturer and supplier Hay:F04NBHR
Kordsa and Ford Otosan cooperate to develop heavy-duty composite leaf springs
Huntsman and Cubicure launch new 3D printing materials: IROPRINT F 80112 thermoplastic polyurethane (TPU) material filament (for FFF, SLA, DLP, HSS and SLS 3D printing) and IROPRINT R 1801 resin
Automobili Lamborghini and MIT cooperate to develop innovative patented materials, the energy density of supercapacitors will double
LANXESS introduces three new high-performance laser weldable polyamide, PBT Durethan LT and Pocan LT (laser transparent) new materials that can be used in electric vehicle drive systems
Rolls-Royce next-generation aero engine uses carbon fiber / titanium alloy fan blades and composite hood
Germany develops magnesium alloy implants to treat bone injuries without damaging human tissue
China has become the world’s largest producer and seller of functional silane/sulfur silane coupling agent materials
JTEKT successfully develops ball bearing capable of suppressing creep wear
Teijin Twaron Aramid Fiber Five-Year Production Expansion Plan Enters Second Phase
Chinese auto glass maker giant Fuyao’s Q3 net profit drops 40%
The new power of China’s automobile industry, the CEO of Evergrande New Energy Vehicles inspects BASF Group, ThyssenKrupp Group, Hella Group, Brose Group
BASF 3D Printing Solutions Co., Ltd. (B3DPS) will bring forward a wider range of products and a new brand Forward AM to the industry-leading exhibition of additive manufacturing-Formnext 2019
Toray Composites releases TC346 new prepreg resin system to meet the needs of high-end cars and racing cars
BASF acquires Sculpteo, a 3D printing service provider, to accelerate the production of new materials by its additive manufacturing subsidiary
The world’s largest manufacturer of high-end aerospace aluminum materials (plates, strips, extruded materials, forgings, fasteners, 3D printed parts) Arconic Inc expands the production of extra-thick plate production lines
German 3D printer manufacturer Rapid Shape and Henkel expand cooperation to develop high-performance materials that belong to DLP technology
China fused high-purity zirconia, metal zirconium, casting modified materials, single crystal fused aluminum leading manufacturer and supplier Hay:B01FJSX
China Special Steel Group provides Hong Kong-Zhuhai-Macao Bridge with vanadium-containing high-strength anti-vibration rebar and precision sheet Hay: A02HGJT
Nano One develops new method to produce high-performance lithium battery cathode materials at low cost
Bridge anti-collision composite materials manufacturer provides bridge pier composite flexible energy-absorbing fender materials for the Hong Kong-Zhuhai-Macao Bridge Cross-sea Project Hay:D02JSHY
SABIC launches new high-heat resin Xenoy HTX, a lightweight metal,polyamide 6.6 (PA66) compounds and alloys replacement solution that can significantly reduce vehicle weight and improve fuel efficiency
Vitrulan Group subsidiary acquires Finnish Ahlstrom-Munksjö glass fiber reinforced fabric business
China functional film materials, electronic grade adhesive materials, thermal management composite materials and film packaging materials manufacturer expands production of OCA optical film Hay:F06JSSD
Canadian company Hyperstealth has developed “Quantum Stealth” (Quantum Stealth) new materials
Airborne provides composite substrates for solar cell arrays for JUICE spacecraft
Graphene conductive ink will reach 200 million yuan in China by 2020
Albany International Corp. has acquired German aerospace high-performance composite parts manufacturer CirComp
LCP (Liquid Crystal Polymer) film and composite materials have become new darlings of 5G materials, Polyplastics Group has made new breakthroughs
China’s highest-strength 2000 MPa bainite wear-resistant steel manufacturer has developed the first batch of 50 mm thick NM500 wear-resistant steel products that can be bent at a large angle of 180 degrees Hay:A02HGHG
“Nature Materials”: Chinese researchers have discovered that zirconium-based materials may replace expensive Pt catalysts
Evonik expands ROHACELL rigid structural foam of polymethacrylimide capacity in the US
Japan Automobile Manufacturers Association (JAMA) will carry out carbon fiber recycling research for fuel cell vehicles
China’s first all-glass fiber composite light aircraft CG231 successfully passed the aircraft static test
Chinese scientists successfully developed the world’s highest magnetic superconducting magnet
ASUS Zenphone 6 smart phone with liquid metal / amorphous alloy precision parts will be released Hay:H06DGYA
China Advanced & High Performance Non-ferrous/Aluminum Alloy Materials Industry
China Start To Mass Production Of 0.1mm Blue Glass For Under-screen Fingerprint Recognition Technology
China Advanced & High Performance Membrane & Film Materials Industry
China Advanced & High Performance Mineral Functional Materials & High-purity Graphite Materials Industry
TWS Headphones: Production of acoustic devices ushering in new opportunities
Belgium Punch Powertrain names automotive executive Jorge Solis as new CEO
OmniVision Technology Announces Industry-Leading Automotive Image Signal Processor
Colben Schmidt Introduced new thrust washer with polymer coating
Bosch unveiled new concept of future travel and after-sale at Automechanika Shanghai 2019
South Korea’s third largest power battery company :SKI Plan to invest USD 1.05 billion in China for battery plant
Typical applications of high-end carbon fiber products produced by Chinese carbon fiber composite materials manufacturer Hay:D02SHSH
China Optical Diffusion Film Manufacturer and Supplier : Optical brightness enhancement film, reflective film, quantum dot film, atomized film, Composite silver reflective film-Hay:F06NBJZ
China’s New High-Temperature Aluminum Titanium TiAl Alloy Aero Engine Blades Completes Test
China Leading Brake Assembly System Manufacturer and Supplier:Brake Caliper,Brake Pads & Brake Shoes Hay:Q02WHBT
China Leading Manufacturer and Supplier for Modified plastics, Specialty engineering plastics, biodegradable plastics Hay:C02GZJF
ELG collaborated with the University of Huddlersfield ’s Institute for Railway Research to develop the world ’s first carbon fiber composite bogie
China-made carbon fiber composite materials are used in rail transportation, new energy vehicles, civil aviation, wind power and other fields
Schaeffler and ABT deepen cooperation to promote the development of light commercial vehicles
The evolution of automotive steering systems
Continental AG adjusts organizational structure of production base
Brembo: Deeply cultivating China’s aftermarket, winning market with innovation
Japan Company DIC Develops Industry’s First High-Temperature-Resistant, Easy-Peel Packaging Film
AkzoNobel Introduces New Product: Intersleek 1000
DSM Engineering Plastics is expanding its portfolio of high-temperature professional blow molding thermoplastic solutions by adding a new Akulon Diablo PA66 grade for use in hot charging automotive duct
BAIC New Energy and Shanghai Nasen reached a strategic cooperation to promote the leap-forward development of China’s autonomous wire-controlled chassis
Volkswagen launches restructuring of automotive parts & components business
Dongfang Seiko intends to sell 100% of Pride Power in 1.5 billion yuan
Chinese auto parts company Joyson Electronics:Chinese and German business mergers win new layout of electronic car networking
Global leading automotive parts supplier Denso’s industrial layout in China
Chinese Commercial Vehicle Steering System Manufacturer & Supplier Would Supply to Commercial Vehicle Maker Scania
Tesla and China Leading Power Battery Supplier CATL reach preliminary agreement, cooperation or expansion to global scope
Schuler assists Innovmak Powertrain in China for production of key automotive components
China Leading Manufacturer and Supplier of Advanced Electronic Ceramic Components and Parts For Fiber Optic Communication,Ceramic Metallizations Materials Hay:B02CZSH
China’s Leading permanent ferrite manufacturer plans to Acquire ZJ`s the soft magnetic materials Business Hay:F05HDDC
China manufacturer and supplier for high-end railway/subway/Metro rubber Vibration damping products, embedded rubber crossing plat, Hay:C05TTGF
Chinese special steel manufacturer developed high-performance die steel WSM2316 and Dievar new high-end hot work die steel Hay:A02HGHG
The microelectronic grade polyimide film / PI film manufacturer invested an annual output of 180 tons of high-performance microelectronic grade polyimide film project has been trial production Hay:C04AHGF
China Manufacturer and Supplier for Sticky and Fixed,Cushioning,Anti-dust and Insulative Materials for Electronic Devices Hay:F01SZZD
Medical Technology Pioneer: Medtronic Corporation in China
China Supplier and Manufacturer for High-Purity Molybdenum,Tungsten,Copper and Titanium Sputtering Target Materials Hay:A01LYSF
Canadian advanced materials company Gratomic Inc. makes breakthrough in graphene rubber research and development
Chinese titanium dioxide manufacturer and AkzoNobel signed the
Nisshinbo Holdings Inc has developed a new catalyst for fuel cell vehicle power generation equipment, reducing the use of precious metal-platinum to one third
China Top Supplier and Manufacturer for Stainless Steel Seamless Pipe, Special alloy,Super duplex,corrosion resistant alloy,high/low temperature resistant alloy, titanium pipelines, CRA OCTG,CRA line pipe, Clad / lined pipe, Hay:A02ZJJL
Ultra-high-purity metal material and sputtering target manufacturer’s ultra-high-purity metal material production line project for electronic film starts constructionHay:A01NBJF
Carbon Truck & Trailer GmbH (CarbonTT) uses carbon fiber reinforced composite materials to design the truck chassis, which can extend the cruising range and payload
Mercedes-Benz signs a magnesium alloy wheel purchase agreement with a Chinese magnesium alloy wheel manufacturer Hay:T02HNDW
Japan has developed an ultra-thin flexible battery with a thickness of less than 1 mm
China Manufacturer and Supplier for High-purity quartz, quartz tube (rod), large-diameter quartz diffusion tube, quartz tube, quartz ingot, quartz plate Hay:B04JSTPY
China leading manufacturer and supplier for High-performance Carbon fiber aramid mixed fabri,carbon fiber fabric, aramid fiber fabric, aircraft carbon brake prefabricated parts, carbon/carbon composite prefabricated parts Hay:D02JSTN
Difficulties in ceramic 3D printing
More special alloy manufacturers in China make technological breakthroughs in high-strength corrosion-resistant titanium alloys
China Special Steel Manufacturer:Successful trial production of the first batch of 180-ton cold-rolled weathering steel Hay:A02SGJT
China Manufacturer and Supplier for Corrosion-resistant epoxy-coated rebar/Steel bar, Duplex steel, nickel-based alloy and other special steels, stainless steel bar A02ZJFG
China Leading Manufacturer and Supplier for Precision metal/aluminum alloy structure parts,Communication radiator,EPS control unit heat sink Hay:T02DSJM
The University of Surrey research team has developed a powerful multi-layer nano-barrier suitable for carbon fiber composite materials, which can be used to construct high-precision instrument structures required for future space missions
Silicon Valley Digital Light Synthesis (DLS) technology company Carbon develops a new 3D printing resin material: tough, rigid, high temperature resistant material RPU 130
China Next-generation high-performance styrene-based thermoplastic elastomer SEBS
Chinese researchers developed a polyamide composite membrane modified by mesoporous materials
Application of carbon fiber reinforced plastic (CFRP) composite materials in the automotive industry
Precision optoelectronic thin film components: infrared cut filter (IRCF), biometric filter manufacturer invested in optical blue glass and micro prism cold processing projects Hay:F06HBWF
China leading spandex and aramid fiber,fabric and paper manufacturer invest in new spandex production line Hay: D01YTTH
DSM unveils UL certified 3D printed flame retardant polyamide PA6 / 66 filament material: Novamid AM1030 FR
Graphene EVA-based semi-conductive shielding material for low-resistance ultra-smooth high-voltage cables passed the acceptance Hay:H02CZZC
Teijin Focus Aerospace Carbon Fiber Intermediate Material: Polyetheretherketone (PEEK) -based thermoplastic laminate Tenax TPCL, carbon fiber thermoplastic unidirectional prepreg tape (Tenax TPUD
Chinese precision optoelectronic thin film component manufacturer plans to invest 120 million yuan to build optical blue glass and microprism cold processing projects Hay:B04HBWF
Rare earth metals and alloys,Dysprosium iron,Holmium copper, Yttrium magnesium, Erbium aluminium,Electronic film material manufacturer and supplier Hay:F05BJYY
Research And Markets reports that global flame retardant plastic market size is expected to exceed $ 55 billion by 2024
China’s modified plastic products and advanced polymer composite materials manufacturers have invested in new high-quality composite materials and composite products such as SMC fiber (glass fiber) materials, SMC fiber (carbon fiber) materials Hay:D02QDGE
Global chemical giant Ineos Ltd. to invest $ 800 million in Ningbo, China
China Manufacturer and Supplier of Amorphous metal,Glass Metal, Bulk Metallic Glass, Liquid Metal Hay:H06DGPM
China Leading Manufacturer and Supplier of Energy saving metallurgical electrode materials: aluminum cathode plate for electrolytic zinc, stainless steel anode plate for electrolytic (accumulated) copper Hay:F03KMLG
Chinese special steel manufacturer develops 316H stainless steel for fourth-generation nuclear power fast reactor project Hay:A02LNAG
Apple’s new patent: using special glass to make car panels and windows with the appearance of convex curved edges
High purity copper used in China’s first carbon ion therapy system
The leading magnesium-lithium alloy foil supplier Hay:A03ZZQY
China Leading Manufacturer and Supplier of High-performance fiber warp knitted reinforced composite materials: glass fiber fabric, carbon fiber fabric, aramid fiber fabric, UHMWPE Hay:D01CZHF
China Aviation carbon fiber composite components and parts manufacturer and supplier Hay:D02SYXZ
China Leading Pre-baked energy-saving anode for electrolytic aluminum manufacturer and supplier Hay:B06SDST
China Leading Continuous casting and rolling spring steel for railway locomotive, automobile and engineering machinery manufacturer and supplier Hay:A02JYXC
Leading Aero Engine Blade, Discs and Superalloy components for steam turbines, gas turbines, nuclear units, marine power and aero engines manufacturer and supplier Hay:T03WXTB
China Leading Rolls for hot and cold continuous rolling mills, rolls for large section rolling mills manufacturer and supplier,Large-sized castings and forged components and parts Hay:T03XTZG
China Leading Spherical plain bearings, tapered roller bearings, rolling functional parts and precision mechanical parts manufacturer and supplier Hay:U03FJLX
China Leading manufacturer and supplier for Glass fiber reinforced composite oil pipeline, anticorrosive pipeline, rolling sucker rod Hay:D02SLGY
Magnesium-lithium alloy for large-scale structural parts Hay:A03ZZQY
China Leading manufacturer and supplier of Hydraulic valves, hydraulic pumps/motors, hydraulic equipment, hydraulic castings Hay:R08BJHD
China manufacturer and supplier of Amorphous, nanocrystalline metal soft magnetic material, nanocrystalline magnetic powder core Hay:F05FSZY
China leading manufacturer and supplier of Soft magnetic alloy material with ultra-fine grain size (~ 10nm),Nanocrystalline Ribbons Hay:F05BJAT
Al Muqarram Group launched a new Dolphin B2 flame retardant polyurethane foam
China leading corrosion-resistant heat-resistant seamless stainless steel pipe manufacturer and supplier:nickel-based seamless steel pipe, titanium alloy seamless steel pipe Hay:A02CSHX
Real Graphene announced that it has developed graphene batteries for smartphones
German automated fiber patch placement (FPP) technology company Cevotec announced that FPP technology has made the latest progress in large and complex aerospace structural parts
China Polyimide high-tech materials manufacturer and supplier: polyimide monomer, polyimide resin, polyimide film, polyimide fiber C04SZZW
China Carbon Fiber Technology Corporation achieves continuous and stable production of PAN-based ultra-high modulus carbon fiber Hay:D01ZKNB
China leading thermoplastic and microcellular polyurethane elastomer manufacturer and supplier Hay:C02SDYN
Chinese high-end titanium dioxide manufacturer signs multi-year supply agreement with PPG Hay:B08HNLM
China Leading manufacturer and supplier of Super-sized honeycomb ceramic material for automotive exhaust purification Hay:B03SDAF
China leading manufacturer and supplier of fiberglass for pipeline and tank forming process and wind energy Hay:D02TSBX
China Leading amorphous alloy strip, amorphous magnetic core, atomized sendust powder manufacturer and supplier Hay:H06QDYL
China leading SiC/Silicon carbide ceramic ultrafine powder,Silicon Carbide Crystal Super hard material manufacturer and supplier Hay:B01SDST
China Ultra-pure Quartz Sand and Electronic Grade Ultrafine Silica Micropowder Silica Project Hay:B04HNLH
Domo Chemicals completes the acquisition of Solvay’s European high-performance polyamide PA 66 business and takes over the Technyl® brand
SCHOTT, Inkron, EVG, WaveOptics jointly release waveguides incorporating nano-resin structures
Hexcel and NaCa Systems will work together to develop hybrid carbon / wood fiber composite car seat backs
Renishaw will exhibit RenAM 500Q, a 4-laser metal 3D printer at TCT 2020
China leading anti UV, anti-bacterial, flame retardant, recyclable sun-shading materials manufacturer and supplier Hay:D02NBXF
China leading Light steel plate,Pyrite light steel plate,Roof system,Pumice assembled floor manufacturer and supplier Hay:K06BJTJ
Revo launches high mechanical performance 3D printing material BC31 polyurea semi-rigid plastic
Mitsubishi Chemical acquires German carbon fiber prepreg manufacturer c-m-p company to deploy European mobile transportation market
China leading Foam aluminum sound-absorbing board,Foam aluminum composite board manufacturer and supplier Hay:A05SCYT
Typical applications of magnesium alloy materials
China leading Conductive silver paste,Tantalum & Niobium,solar photovoltaic Material, Surface Treatment Material, Binder, Special Ceramic and Ta&Nb Material manufacturer and supplier Hay:I02GDLG
Thermoplastic polyurethane film (TPU), high elasticity flame retardant ultra-thin polyurethane film, waterproof and moisture permeable film, multilayer barrier film, multilayer hot melt adhesive film, flame retardant film, antistatic film Hay:C04ZSBR
China leading Lightweight special shaped seamless steel pipe, low temperature resistant steel pipe, high strength steel pipe, high-tenacity steel pipe, wear-resistant steel pipe, corrosive-resistant steel pipe manufacturer and supplier Hay:A05JSJD
China leading ultra-pure 6N/7N copper and ultra pure 6N zinc supplier Hay:A01HNGX
First Graphene (FGR) and Steel Blue have signed an exclusive supply agreement for the supply of PureGRAPH10 to apply graphene to TPU materials
Color coated aluminum coil, brush finish aluminum coil, class A2 FR/A2 FR ACP aluminum composite A2 grade fireproof panel Hay:K05JSBK
Intelligent electronic color glass, Smart dimmable glass, low iron fireproof glass, ultra-thin bullet-proof glass, SLR perspective glass, compound fireproof glass manufacturer and supplier Hay:B04ZJDS
Security compound glass:Laminated glass manufacturer and supplier Hay:B04ZJDS
Precision ceramic structural parts, LED ceramic heat sink,lighting ceramics, electrical and electronic ceramic components manufacturer and supplier Hay:B02GDKR
Michelman to Feature Hydrosize® Fiber Infiltrants at JEC World 2020 to Improve Carbon Fiber Composite Performance
Leading PE, EVA, SBR and CR polymer soft-foaming and Structural foam materials manufacturer and supplier Hay:C03CZTS
Application of advanced ceramic materials in the medical field
Important opportunities for the development of high-value medical consumables companies in China
Chinese precision aviation forging components and parts manufacturer undertakes R & D and manufacturing of nearly 70% of key forgings of Chinese commercial aircraft C919 Hay:T03ZGEZ
The world’s largest graphene nanotube production facility goes into operation
Global leading superhard materials and products, superhard composite materials and products, artificial diamonds, diamond wire saws, diamond micropowders, graphene manufacturer and supplier Hay:B06HHXF
A production line designed by Italy’s Gamma Meccanica to produce 50,000 tons of adiabatic thermal insulation rock wool material was put into production in Datong, Shanxi
Covestro: Demonstrating economical lightweight composite materials at JEC in 2020
Wind turbine composite material blades, FRP pipes, storage tanks and high-pressure gas cylinders, high-pressure pipes Hay:D02ZFLZ
Chinese researchers developed A flexible carbon nanotube sen-memory device
Leading Fe-based amorphous alloy ribbons manufacturer and supplier Hay:H06ATNR
Aviation composite materials: composite honeycomb structures parts, resin-based composite materials, metal-based and ceramic-based (including C /C) composite materials manufacturer and supplier Hay:D02NTZH
Chinese researchers introduced a ternary layered MAX phase with both metal and ceramic properties into TiAl alloy-Ti2AlN to improve the plastic toughness of the TiAl alloy while ensuring its strength
Precision titanium alloy seamless tubes,reducers, thin-walled pipes, ribbed pipes, spiral (textured) pipes, large-sized thick-walled pipes manufacturer and supplier Hay:A04BJTY
Industrial grade zirconium material products: zirconium alloy tube, zirconium alloy rod, zirconium alloy plate, zirconium alloy strip manufacturer and supplier A01SXGH
The world’s largest single-walled carbon nanotube manufacturer OCSiAl’s single-walled carbon nanotube synthesis equipment Graphetron 50 is officially completed and put into production
China’s leading carbon nanotube manufacturer, from the domestic market to the international market Hay:H02JSTN
Huawei Marine Networks will build the Maldives Sri Lanka Cable System (MSC)
Chinese tire manufacturer: Linglong tire successfully entered the world-class commercial vehicle brand MAN AG supporting system
Manufacturer of data cables, electronic connectors and precision components, new energy charging module products supply Tesla with data cables Hay:F04SZDR
China’s large nickel aluminum bronze alloy propeller manufacturer overcomes multi-axis CNC machine tools and solves the problem of 400-ton propellers
Leading High-strength precision titanium alloy fasteners and structural parts for aerospace manufacturer and supplier Hay:A04SDLT
Spain Montefibre Carbon invests in the construction of a 100-ton PAN-based carbon fiber production line
Solar photovoltaic glass manufacturer builds new photovoltaic module cover glass and Low-E coated glass project Hay:B04ZJFL
BeO / Beryllium Oxide Powder and Beryllium Oxide Ceramic Products
Advanced Composite Materials Low Cost Manufacturing Technology:Introduction to Liquid Composite Molding (LCM)
Superhard Cemented Carbide Supplier Hay:B02ZKGY
Leaading Nano lithium iron phosphate, carbon nanotube conductive liquid and carbon nanotube manufacturer and supplier Hay:H02SZDF
Application of long glass fiber reinforced polypropylene material
Leading rare earth permanent magnet materials:Sintered and bonded Nd-Fe-B Magnets, Soft Ferrite materials manufacturer and supplier Hay:F05BJZK
Dutch Thermoplastic Composites Research Center proposes a new method for composite molding process design
The China largest manufacturer of sapphire, aluminum core electromagnetic wires and ultra-fine electromagnetic wires invests in the third-generation semiconductor silicon carbide products (silicon carbide wafers) projects Hay:B01ZJLX
With a wide width of up to 2600mm, LG Chem Guangzhou base successfully produced the industry’s largest wide-width polarizer
Leading ultra-high purity metal materials Al, Ti, Ta, Cu Si , Nb, Cr and sputtering targets manufacturer Hay:A01NBJF
Thomas Technik & Innovation (TTI) announced the launch of the innovative pultrusion equipment pullCUBE, the world’s smallest pultrusion equipment with a length of only 3.5 meters
Huntsman appoints two presidents to jointly lead the new composite hybrid spray polyurethane foam (SPF) business consisting of Demilec and Icynene-Lapolla
South Korean company STI successfully achieved local mass production of fused silica glass materials, breaking the monopoly of Momentive,Shin-Etsu Chemical, Nikon and Tosoh
Ceramic matrix composites CMC manufacturer Axiom Materials opens high-temperature composites center
China leading vanadium-titanium alloy powder and 3D printing parts for aero-engines Hay:H01HNGT
Can MXene nanocomposites replace graphene materials?
Carbon fiber composite materials will be applied on a large scale in the fields of construction and automobiles
Multifunctional Synthetic Mica Powder manufacturer and supplier Hay:B06GDSB
Chinese special steel manufacturer successfully developed R6 grade high strength steel for offshore mooring chains Hay:A02JYXC
Germany develops new low-cost bio-based exterior wall insulation materials with all the properties required by market-leading polystyrene insulation boards
New carbon fiber composite material manufacturing technology
Carbon fiber automotive parts manufacturer brings carbon fiber trunk guards to JEC2020 in France Hay:D02JLHY
Chinese researchers are developing Cold Spraying solid forming titanium and titanium alloy technology
Leading super Duplex Steel Pipe, Corrosion Resistant, High Temperature Resistant Alloy Pipe, Stainless Steel Pipe, Precipitation Hardening Stainless Steel Pipe, Bimetal Composite Pipe, Titanium Alloy manufacturer and supplier Pipe Hay:A05ZJJL
Super strong carbon fiber reinforced composite material, super strong carbon fiber reinforced modified plastic and nano super wear-resistant modified plastic manufacturer and supplier Hay:D02JSXF
Evonik’s high-performance polymers: Closed-cell polymethacrylimide (PMI) foam core material ROHACELL aviation composites for automated production
Special alloy materials include high-quality gear steel, high-quality mold steel, special stainless steel, high-temperature alloy, and ultra-high-strength steel,High-strength, precision forged parts manufacturer and supplier Hay:A02JSGD
AlSiC,Al/SiC Metal Matrix Composite (MMC),Carbon fiber reinforced aluminum composite material,High thermal conductivity composite material manufacturer and supplier Hay:D04HEBX
BASF and Hengst use engineering plastic Ultramid® Structure LFX to jointly develop reusable spin-on oil filters
Magnesium alloy sacrificial anodes, Magnesium alloy extruded profiles, Magnesium alloy automobile power train parts and components,aviation Magnesium alloy components and parts,3C products Hay:T02SDYG
Leading High precision super hard aluminum parts, seamless aluminum tubes,Precision extruded aluminum alloy profiles manufacturer and supplier Hay:T06KSJA
Leading carbon fiber, fabrics, carbon fiber prepregs and carbon fiber composite materials parts manufacturer and supplier Hay:D02JSHS
Chinese rare earth nano material manufacturer successfully developed rare earth nano heat insulation agent and rare earth nano heat insulation functional film Hay:F03TJBG
Coatings specialist Nippon Marine Coatings receives anti-fouling coating contract for Norwegian Cruises
Lithium titanate battery system produced by Swedish Echandia Shipping Company has obtained the safety certification issued by DNV GL, one of the world’s leading classification societies (combined by Norwegian Classification Society (DNV) and German Lloyd’
Facing novel coronavirus, overseas orders for Chinese medical N95 masks, forehead guns and protective clothing surge
High-purity quartz and products are expected to have a global demand of 28.3 billion yuan in 2020, and the high-end market share of Chinese quartz and product manufacturers will increase
International cooperation organizations from IMEC, vito, EnergyVille, and PERCISTAND achieve 25% efficiency for the first time for thin-film solar cells. CIGS thin-film cells are the key
GEOMATEC mass-produces nano-scale fine anti-reflection film with moth-eye structure
China leading UHMWPE fiber supplier Hay:D01BJTY
Application of Long Glass Fiber Reinforced Polypropylene Material in Automobile Lightweight
Diamond tools, PCD / PCBN / CVDD super hard tools and super hard materials manufacturer and supplier Hay:B06BJWE
High-purity rare earth metals and alloys and other rare earth functional materials manufacturer and supplier Hay:A06BTXJ
China’s special metal powder material manufacturer has made breakthroughs in the preparation of spherical titanium and titanium alloy powders for 3D printing Hay:H01PGJT
SAERTEX multiCom LP expands production capacity of cured glass fiber reinforced pipe lining materials in the United States
New forces of high-performance carbon fiber composite materials in China! JSHR unveiled newly developed carbon fiber composite auto parts at JEC World Hay:D02JSHR
Ultra-white glass, photovoltaic photovoltaic glass, Low-E glass manufacturer successfully developed 0.33mm high aluminum ultra-thin flexible electronic glass Hay:B04CZQB
ZG Petroleum successfully developed polypropylene meltblown material for masks
High-end copper alloys for connectors: highly conductive, highly reliable tin-phospho-zinc-copper Hay:A03NBBW
Advanced special technical ceramics: large market space and high technical barriers, some products of Chinese manufacturers have realized import substitution
The TZG carbon fiber composite transmission shaft developed by ZGCB broke the foreign technical monopoly and successfully obtained the first domestic factory approval certificate issued by China Classification Society Hay:D02ZGCB
Battery grade lithium carbonate, lithium hydroxide monohydrate, lithium chloride, rare earth praseodymium oxide, rare earth neodymium oxide,Gadolinium oxide, Holmium oxide, Dysprosium Oxide, Terbium oxide manufacturer and supplier Hay:N01GDWH
Leading rare earth permanent magnets, rare earth super magnetostrictives, and rare earth magnetic refrigeration materials,Rare Earth Luminescent Materials manufacturer and supplier Hay:F05BJYY
Hollow fiber ceramic membrane
Chinese special steel strip manufacturer successfully developed 930MPa high strength galvanized strap steel Hay:A02JGJT
China leading lithium battery cathode materials and Ni-MH battery anode material (hydrogen storage alloy),Lithium cobaltate,LiCoO2,Lithium Iron Phosphate manufacturer and supplier Hay:N01XMWY
Chinese composite fan large blade successfully delivered, another major technological breakthrough in the large ducted aero engine
Automotive interior material changes
Samsung developed all-solid-state battery: 900Wh / L energy density, half the volume compared to lithium-ion batteries
Leading plastic material NMT nano-injection molding material and LDS laser molding material, PC alloys, LDS, high-temperature nylon, nano-materials PPS / PBT, modified PET / PBT, modified PA6/66, modified PP manufacturer and supplier Hay:C03SZHL
High-performance modified plastics: polypropylene series (PP), styrene copolymer series (ABS), polyamide series (PA), liquid crystal polymer series (LCP), polypropylene materials for meltblown cloth manufacturer and supplier Hay:C03SHPL
China leading electric Motors and drivers manufacturer and supplier establishes a joint venture with German ZF Friedrichshafen AG Hay:U01ZJWL
Progress in the preparation of macro-sized MXene 2D materials in China
China leading carbon fiber composite materials and carbon fiber prepregs manufacturer and supplier invests in new production bases Hay:WHBW
LG Chem and US General Motors: mass production of new NCMA batteries starting in 2022
China’s aluminum alloy automotive chassis industry market status in 2019, Broad market space for lightweight chassis
STMicroelectronics acquires majority stake in GaN/Gallium nitride manufacturer Exagan
After Kuraray and Kraton ZJZL is the third Styrenic thermoplastic elastomer/SEP manufacturer and supplier for optical Fiber and Cable Filling Gel Hay:C05ZJZL
Carbon/carbon thermal field material products for single crystal silicon furnace: carbon/carbon hardened thermal insulation blanket insulation layer, carbon / carbon thermal insulation tube, carbon/ceramic composite material manufacturer Hay:D10XACM
Spirit AeroSystems acquires high-temperature composites company Fiber Materials Inc./FMI for $ 120 million
China Opportunities for the Third Generation of SiC and GaN Semiconductor Materials Seen from the Success of Sapphire
Amorphous alloy metal/liquid metal material manufacturer and industrial sponge zirconium manufacturer establish joint venture to create amorphous zirconium-based alloy material Hay:H06DGYA
Multi-material metal 3D printer developed by Aerosint of Belgium, can print two-component metal
Polyetheretherketone (PEEK), polyimide (PI) high-performance special engineering plastic profiles and products manufacturer and supplier C02JSJH
AKRO-PLASTIC launched the world’s first hydrolysis-resistant PA6
China Special Steel Group successfully developed AISI E4340 Alloy Steel for blowout prevention pipes for oil and gas drilling industry Hay:A02BGJT
China Nuclear Power Steam Turbine/ Thermal Power Steam Turbine Blade and Vanes manufacturer and supplier,Global Blade Supplier High-performance Precision Forging Supplier Hay:T03WXTP
Application of carbon fiber composite materials in the marine field
Aeroengine and gas turbine blades, precision superalloy components manufacturer and supplier Hay:T02AHYL
Aeroengine high temperature alloy materials and parts, high temperature alloy precision castings manufacturer and supplier Hay:A04STWZ
Large-scale application of carbon fiber sucker rods in oil fields, Get more out of your well Hay:D02SLGY
Deakin University develops carbon fiber + glass fiber polymer reinforcement
China top high-precision Aluminum sheet, strip, 0.0045, 0.005mm ultra-thin aluminum foil manufacturer and supplier Hay:A03YNHX
Plasma ball milling and preparation of antimony sulfide-graphite nanosheet composite anode material
Manufacturers of ultra-light and high-strength magnesium-lithium alloy structural parts and magnesium-aluminum alloy materials invest in new magnesium-lithium alloy projects Hay:A03XASF
China Top Carbon Fiber Composite Core Cables,carbon fiber core conductors,ACCC (Aluminum Conductor Composite Core) manufacturer and supplier Hay:F04JSZF
Covestro and Henkel (Henkel ’s ultraviolet (UV) curing adhesive combined with Covestro ’s high ultraviolet through polycarbonate alloy) provides an adhesive solution for efficient lithium-ion battery packaging
BASF develops Tri-Metal Catalyst technology, which can replace palladium with less expensive platinum to reduce the cost of automakers
Optical film for backlight module,diffusion film, brightness enhancement film, reflection film, LED film, decorative films and protection film manufacturer and supplier Hay:F06NBJZ
Induction heating system expert GH Induction launches 3D printed copper inductors, extending service life by 4 times
China’s Amorphous Alloy / Liquid Metal, Zirconium-based Amorphous Alloy Technology Leader Signs Amorphous Alloy / Liquid Metal Technology License Agreement with High Purity Zirconia and Metal Zirconium Manufacturers Hay:H06DGYA
China leading Ceramic fiber insulation board, ceramic fiber composite board, magnesium silicate fiber, soluble fiber, alumina fiber, basalt fiber (rock wool) Insulation refractory manufacturer and supplier Hay:F03SDLY
Research progress on hexagonal boron nitride structure in Chinese wafers
China leading polyimide fiber, Polyimide material, Polyimide special paper, Polyimide film, Resin and engineering plastics manufacturer and supplier Hay:C02CCGQ
Marotta receives patent for new shape memory alloy (SMA) resettable pin puller design
CTC Global launches carbon fiber composite core wire ACCC InfoCore ™ system
Jingjin Electric won the 2019-2020 New Energy Bus Electric Drive Technology Achievement Award with its new-generation SD400 direct drive motor system:
Global SiC/Silicon Carbide key and leading Manufacturers / Suppliers and Market Development Status
Sweden’s Nexam Chemical and Sweden DIAB Group signed a 2020-2021 supply agreement to provide modified additives NEXAMITE® for the latter’s PET foam core products
Manufacturers of low-temperature superconducting cables, third-generation AP1000 nuclear power cables, and aviation cables provide COMAC with spiral harnesses and components Hay:F04YZBS
Oxygen-free bright copper rod, ultra-fine electronic copper wires,photovoltaic copper rod (soldering strip), alloy copper rod wire, tinned soft round copper wire, soft copper stranded wire, soft copper wire leading manufacturer and supplier Hay:A03JSXH
Ultra-fine conductors,laminating wires,Plate lug leading manufacturer and supplier Hay:F04ZJDN
Large-scale honeycomb ceramic carrier,Honeycomb Ceramic High Aluminium Filter, automobile exhaust purifier manufacturer and supplier Hay:B03SXBA
TAC film for high-end LCD TV Top manufacturer and supplier: Fujifilm and Konica Minolta
Carbon fiber composite structure components and parts:Carbon fiber composte Sheets,Carbon fiber tube manufacturer and supplier Hay:D02JSBS
Can wearing a face (N95)mask protect you from the coronavirus (COVID-19)?
Wacker Chem’s new metal silicon production plant is officially put into operation to meet the production needs of organic silicon and ultra-pure polysilicon
“Joint Laboratory of Metal Strengthening Technology” jointly established by the Institute of Metal Research of the Chinese Academy of Sciences and the Chinese special cemented carbide manufacturer Hay:T10WHJT
China’s leading manufacturer of modified lightweight plastic hollow panels rushes to fulfill BMW orders Hay:C03QDTF
Lithium-rich manganese-based cathode materials: key materials for power lithium batteries
Lithium battery anode coating material and carbon fiber spinnable pitch manufacturer’s lithium battery anode coating material project trial production of six production lines Hay:N01LNXD
High-precision lenses,Face recognition system lens,high-precision optical glass leading manufacturer and supplier Hay:F06DGYT
Typical applications of carbon fiber composite materials in the medical field: carbon fiber medical bed board, carbon fiber ct bed board, carbon fiber orthosis, carbon fiber wheelchair. . .
China leading precision connectors and precision electronic structural parts manufacturer and supplier Hay:T01NBXR
University of California nanometer engineer uses carbon nanotube network to make new battery separator
China supercapacitor graphene electrode material,graphene conductive materials, graphene thermal conductivity materials, graphene energy storage materials, graphene functional coatings leading manufacturer and supplier Hay:H02XMHL
3D printing high-strength high-shape silver alloy: Ag-Cu-Ge alloy
China multi-layer clad products including aluminum steel, aluminum stainless steel, aluminum copper, aluminum titanium, copper steel and steel stainless steel leading manufacturer and supplier Hay:D04JSYB
3D printed Bugatti Chiron Pur Sport titanium alloy tailpipes: Extremely lightweight, extremely thin (0.5mm), highly temperature-resistant
CSI Entwicklungstechnik GmbH, Alba Tooling & Engineering and Automotive Management Consulting, Covestro, LBK Fertigung, Robert Hofmann and 3D CORE jointly develop Ultra leichtbausitz ultralight seats
Composite Resources, a manufacturer of industrial and racing composites, and Pyromeral Systems, a manufacturer of high-temperature glass ceramics and oxide composites, jointly develop high-temperature ceramic matrix composite technology
China rapidly increase meltblown nonwoven fabric production capacity for KN95 masks and medical mask
INEOS Styrolution introduces new S-TPE medical tube material Styroflex® 4G80, medical infusion drip bucket material SBC material Styrolux® 4G60 innovative medical material
Effective response to the Coronavirus (COVID-19) epidemic, starting April 1, China’s export of medical supplies must provide a written or electronic declaration of a medical device product registration certificate
Lite Coms signs USG order for carbon fiber antenna
Broad prospects for smart street light industry
Chinese leading supplier of super-sized graphitized cathode for electrolytic aluminum Hay:B06HNWJ
Chinese high-performance composite materials leading manufacturer and international top-level composite partners jointly develop high-performance composites automotive leaf springs Hay: D02JSHR
Toyota and Hino jointly develop composite materials hydrogen storage tanks for fuel cell heavy trucks
Typical applications of foam ceramic materials: foundry filters and environmentally friendly building materials
Electroimpact’s automatic fiber placement equipment (AFP) uses ultra-thin carbon fiber prepreg tape or prepreg wire automatic placement technology, 3D printing carbon fiber composite structural parts
Ultra-high molecular weight polyethylene/UHMWPE fibers, high-performance bulletproof composite materials and products leading manufacturer and supplier Hay:D01NBDC
Functional coating composite materials: functional film materials, electronic grade adhesive materials, thermal management composite materials and film packaging materials leading manufacturer and supplier Hay:F03JSSD
Samsung’s self-made folding screen glass with a thickness of more than twice 30μm
Global aviation high-performance metal materials: magnesium alloy, high-temperature alloy, titanium alloy, special-shaped steel material market size reached USD 18.5 billion in 2025
Manufacturers of polymer waterproofing materials and roofing materials invest in building production bases of waterproofing materials for building materials Hay:K02JSKL
China Polymer Materials Technology Corporation builds new production base for medical thermoplastic polyurethane (TPU) materials Hay:C02TWHG
Microvent | Microporous material:ePTFE membranes,micro-permeable membrane leading manufacturer and supplier for acoustic and ventilated solutions Hay:F08JSFY
After GFT-IKTS and Japan Mitsui Shipbuilding,China only Molecular sieve pervaporation membrane dehydration separation membrane,NaA molecular sieve pervaporation film manufacturer and supplier Hay:F07JSJT
Hexcel releases new fast curing HexBond 679 adhesive film to meet the low temperature curing requirements of marine and industrial applications
Gurit, a global advanced composites manufacturer, splits the composites business unit into wind energy and marine and industrial materials
China leading solar conductive silver paste, electrode conductive silver paste, crystalline silicon solar front electrode conductive silver paste, secondary lithium battery positive electrode material enhancer manufacturer and supplier Hay:I02SZJY
Pink / White Aluminium Oxide, Ceramic Abrasives, Semi-friable Aluminium Oxide, White Aluminium Oxide Micro Powder, Brown Aluminium Oxide leading manufacturer and supplier Hay:B06SDLX
Carbon matrix composites reinforced by carbon fibers and their fabrics Carbon / carbon composites are the most promising high-temperature materials
High-strength and lightweight automobile safety anti-collision systems, low-permeability and low-emission automobile nylon piping systems and rubber piping systems, automobile constant velocity universal joint front drive shafts manufacturer Hay:Q01HBLY
Graphite sealing material High Performance Seals, Metallic & Semi-Metallic Gaskets, Asbestos Free Gaskets Sheet, PTFE Gaskets, Asbestos Joint Gaskets Sheet manufacturer and supplier Hay:U07NBTS
Rare earth magnesium alloys in the continuous die casting, forging and extrusion processes technology new breakthroughs made by China Special Alloy Technology Corporation Hay:A03BGXT
Lithium battery precision forged structural parts and automotive precision structural parts and components manufacturer and supplier Hay:T02SZKD
High-strength and high-modulus PVA/PVB fiber and PVA/PVB optical film manufacturer newly build 35kt differentiated PET polyester chip project Hay:C02AHWW
Coated flexible copper clad laminate/ FCCL double-side(ED/RA) and pressing flexible copper clad laminate/FCCL single-side(ED/RA) manufacturer and supplier Hay:I04WXYT
Chinese super-hard abrasive materials manufacturer breaks 3M technology monopoly, and ultra-microcrystalline blue corundum new material project starts Hay:B06QDSS
The application of anti-corrosion and weather-resistant steel in China’s cross-sea bridge project: anti-corrosion and weather-resistant steel anchor box for the cable tower of Hong Kong-Zhuhai-Macao Bridge Hay:A02HBZT
Chinese researchers develop high-performance super-strong nanocomposites
Shares of lithium battery copper foil manufacturer Doosan Solus will be sold, Samsung and SK Group compete to acquire
Super strong prism reflective film, high-refractive glass micro-bead reflective material, reflective cloth, reflective film, diffusion plate, light guide plate, micro-prism reflective film, micro lens film leading manufacturer and supplier Hay:F06CDLH
Carbon nanotube powder, carbon nanotube ultra-black coating, carbon nanotube fiber, carbon nanotube film, and carbon nanotube conductive paste leading manufacturer and supplier Hay:H02SZXW
NASA develops silicon carbide fiber reinforced silicon carbide (SiC / SiC) ceramic matrix composites (CMC) for aircraft
Carbon-Matrix composite for high-temperature thermal field Hay:F03HNJB
China heat and cold shrinkable cable accessories, high-end radiation cross-linked heat shrinkable products,cast resin cable accessories leading manufacturer and supplier for the energy and electricity industries Hay:F03SZHS
License plate reflective film: New energy vehicles and electric bicycles become the new growth engine for the license plate film market
Nitrogen atomized aluminum powder, high-purity fine spherical aluminum powder, aluminum-based alloy powder, air atomized aluminum powder leading manufacturer and supplier Hay:A03HNYY
Ultra-hard composite materials, ultra-high-precision and ultra-hard cutting tools:high-precision PCBN / PCD / CVD cutting tools, diamond scribing wheels leading manufacturer and supplier Hay:T10BJWE
Laser processing precision metal parts and non-metal components, Precision Laser Stencil, 3D Laser Direct Structuring, laser structuring of flexible circuit boards, Precision laser drilling, Electronic manufacturing provider Hay:T09SZGY
China leading key precision turbocharger parts and components:Bushing, Cover Plate and Assembly, Connecting Plate and Assembly, Turbine Housing manufacturer and supplier Hay:Q01SHHP
3D Laser Direct Structuring precision parts and components for Telecommunication Antenna, Consumer Electronic, Medical Equipment, industry sensors, aerospace Hay:T09SZGY
Functional refractory materials & non-shaped/unshaped refractory products,purging plug, seating block, castable, slide gate nozzle, black refractories, and MC / AMC leading manufacturer and supplier Hay:F03HNPN
Nano silicon carbide ceramic / metal composite precision component manufacturer invests in new silicon carbide ceramic reinforced fiber material (for ceramic matrix composites (CMC))production line Hay:D05FJLY
Chinese automaker successfully developed automobile carbon fiber-aluminum alloy hybrid structure body, reducing weight by 200kg
High strength clean aluminum alloy welding wire, high magnesium aluminum alloy welding wire leading manufacturer and supplier Hay:A03FSDG
China nano-powder material–nano-fumed silica: hydrophilic fumed silica and hydrophobic fumed silica leading manufacturer and supplier Hay:B04HBHF
China leading precision stamping dies and precision stamping parts manufacturer and supplier for automotive Hay:T01WXWT
Wire Arc Additive Manufacturing (WAAM) Composite Manufacturing Forming Precision Control
Refractories:clay bricks, high alumina bricks, silica, and fused silica bricks manufacturer and supplier for coking and iron and steel industries Hay:F03SDLQ
Japanese researchers have developed a solid-state lithium-sulfur battery (active sulfur material and carbon nanofiber (CNF) composite material) based on sulfur-CNF composite materials, with higher capacity and more stable performance
Carbon fiber composite materials have outstanding advantages, and can be the first choice for robotic arms in the future
Aviation gradetitanium alloy forged parts, high-temperature alloy/superalloy precision strip,titanium tube / profile, superalloy sheet / strip, corrosion resistant alloy sheet, forging parts, bar and wire products manufacturer and supplier Hay:H04CQJS
China leading very low profile (VLP) ultra-thick copper foil, High precision ultra-thin copper foil for lithium battery manufacturer Acquired (4.5μm) ultra-thin lithium battery copper foil manufacturer Hay: A03NDTB
Comparative Study of SLM and EBM 3D Printing Metal Tungsten
Using high-pressure casting technology to produce new composite materials: with the strength of cast iron, light weight of aluminum
Lanthanum,cerium,praseodymium,neodymium salts of each element products, oxide, europium oxide, terbium oxide and dysprosium oxide, praseodymium, neodymium metal liquid crystal polishing powder, P507 extraction agent manufacturer and supplierHay:A06ZBBG
EV Charging Cables, robot flexible cable,Power Cords, ALCI, Electrical Wires, String Lights, Leakage protection plug power cord manufacturer and supplier Hay:F04DGYS
BMW selects SGL as its supplier of glass fiber composite battery cases/boxes
Development and Application of Carbon Fiber Reinforced Thermoplastic Composite Material in 1mm Thick Notebook Computer Case
China leading automotive precision forging gears, automotive precision forging manufacturers invested 1.1 billion yuan to build lightweight aluminum alloy forgings for electric vehicles Hay:T03JSTP
China leading high-precision copper alloy strip, high-purity low-oxygen copper strands for special cables, high-conductivity and high-toughness copper wire manufacturer successfully IPO Hay:A03NBJT
Chinese precision mold manufacturer processes ultra-precision titanium alloy spinnerets for N95 mask filter non-woven fabric manufacturers Hay:T10BJZJ
Chinese hydrogen fuel cell manufacturer successfully developed low-load platinum catalysts, membrane electrodes, aluminum hydrogen, hydrogen fuel cell stack and hydrogen fuel cell power system Hay:N01SZXT
Unleash the innovative potential of implantable medical devices with high-precision 3D printing technology
Swiss dental resin manufacturer Saremco Dental AG has released a new photosensitive polymer resin: 3D printed dental caries filling resin
China high precision aluminum extruded profiles, parts and components, aluminum extrusion heatsinks,Aluminum alloy precision side rails for medical equipments, Imager C-type precision aluminum alloy arm manufacturer and supplier Hay:T06TJRX
Ti3SiC2 layered ceramic material / Titanium silicon carbide`s performance and application
China leading automotive precision magnesium alloy parts and components, automotive aluminum alloy wheels manufacturer and supplier supplys magnesium alloy auto parts to Tesla Hay:A03ZJWF
China leading precision graphite products, graphite boats and plates for PECVD, thermal field of polycrystalline silicon ingot furnace, C / C composite materials and graphite felt for thermal insulation manufacturer and supplier Hay:B06SZJS
China’s special TPU, PMMA material giant launched 3D printing polypropylene PP powder, TPU and PA powder materials, photosensitive resin materials Hay:H01YTWH
Tesla applied for a patent for a single crystal nickel-cobalt-aluminum electrode. The lithium-ion battery equipped with this electrode can make the battery life of electric vehicles exceed 1.6 million kilometers
Builds 30 polypropylene meltblown materials production lines for KN95 masks and medical masks Hay: C02JSDW
China leading micro-casting and forging milling composite Additive Manufacturing (3D printing) technology manufacturer realizes “micro-casting and forging” of metal 3D printing Hay:H01WHTY
China’s first rare earth hydrogen storage alloy: rare earth magnesium nickel-based hydrogen storage alloy electrode production line achieve commercial production Hay: A06ZKBT
China Lithium Battery Separator Insight: Lithium battery separator material manufacturing business performance differentiation industry accelerates adjustment and reorganization
Swinburne University of Technology develops new structured graphenemeta materials for solar heating
Hexcel carbon fiber prepreg used in Flight Design ultralight aircraft design
Nano alumina, nano boehmite, micro mist of aluminium oxide nanometer manufacturer and supplier Hay:B01SHPT
China Ti45Nb titanium alloy NbTi superconducting wire supplier Hay:H07XBCD
Chinese leading Aluminum grain refiner and potassium fluorotitanate manufacturer Hay:A03SZXX
China high-precision miniaturized parts manufacturer builded precision metal processing, electromagnetic functional materials projects Hay:T01GDLY
China organic fluorine and silicone polymer materials manufacturer has developed e-PTFE microfiltration membranes KN95 masks and medical masks Hay: C06SCZH
Evonik joins hands with DNV GL and Airborne Oil & Gas Company to obtain authoritative certification for carbon fiber reinforced thermoplastic composite pipes
China Special Steel Group successfully developed non-oriented silicon steel for electric vehicles Hay:F05LNAG
China zirconium sponge, zirconium alloy ingots, zirconium alloy plates and strips, zirconium alloy tubes and bars manufacturer’s third-generation nuclear power localized nuclear-grade zirconium is officially put into service Hay:04GHBT
3V 4000F super capacitor, fara super capacitor and bipolar battery manufacturer and supplier
Carbon fiber 3D printing technologies
Swiss additive manufacturing 9T Labs cooperates with high-performance polymer supplier Arkema to achieve mass production of small composite structural parts with complex internal fiber orientation
Heat-resistant, high-strength and corrosion-resistant aluminum alloy forgings, 2024,7A04,7050,7055,7A85 aluminum alloy ultra-wide plates, aluminum alloy ultra-thin skin plates,5059/1561 aluminum alloy for ships manufacturer and supplier Hay:T03GXNN
Photosensitive materials, magnetic recording materials, digital imaging materials and printed imaging materials, optical functional films manufacturer and supplier Hay:F06ZGLK
China leading manufacturer and supplier of graphene conductive paste, Few Layer Graphene for lithium ion battery, fireproof coating, anticorrosive coating, conductive and thermal conductive material, graphene composite material Hay:H02DGHN
China leading precious metal catalysts (PMC),heterogeneous catalysts and homogeneous catalysts manufacturer and supplier Hay:A02SXRK
The China Graphene Technology Group’s para-aramid production line was put into production, which paved the way for the nitrogen-doped carbon nanotubes and graphene synthesis para-aramid project Hay:D01NMSM
Carbon fiber reinforced silicon carbide composites Hay: D05HNSX
China leading transparent Polycrystalline Magnesium Aluminate Spinel ceramic material manufacturer and supplier Hay:B01BJZC
China leading mica ceramic insulators,synthetical mica, mica-based casting ceramic,granted machinable mica-based glass-ceramic manufacturer and supplier Hay:F04BJZC
China has made new progress in the research of Cerium-doped Yttrium Aluminum Garnet. Ce: YAG fluorescent ceramics Hay:B01SHGJ
China leading zirconia ceramics, alumina ceramics, steatite ceramics and non-oxide ceramics with advanced ceramic nanometer metallization technology manufacturer and supplier Hay:B01HNMC
China leading deformation and casting high temperature alloy and superalloy bars, rods, plates, sheets, strips, wires, high temperature master alloy, high temperature precision forged and casting parts and components manufacturer and supplier Hay:A04JSTN
Chinese researchers make progress in the research of all-d-metal Heusler NiMnTi (Co) magnetic shape memory alloys
The high-performance carbon fiber, carbon fiber warp knitted fabric, and prepreg resin of China Carbon Fiber Composite Material Technology Group successfully obtained DNV · GL classification society product certification Hay:D02JSHS
The annual output of 200,000 sets of new energy automobile lithium battery pack aluminum alloy box project invested by Chinese precision aluminum alloy component manufacturer is put into production
China leading micro-permeable polymer breathable new material manufacturer invests in new SiO2 aerogel and ePTFE breathable membrane composite material production line Hay:F08JSFY
China leading Silicon Carbide Graphite Crucible,alumina ceramic grinding balls, alumina ceramic granulated powder, zirconia toughened alumina grinding balls, ultra-microcrystalline alumina grinding balls manufacturer and supplier Hay:B01QDBD
Battery capacity increased by more than 30%. Rare earth hydrogen storage alloy electrode greatly improves battery performance Hay:N01ZKXD
Japan high-performance fiber and composite materials manufacturers and R & D status
China cobalt-chromium alloy, titanium alloy, degradable materials, ceramic medical 3D printing materials manufacturer and supplier Hay:H01BJDP
Titanium alloy powder supplier Hay:H01RDHO
China Leading Spherical Aluminum Powder,Aluminum Alloy Powder,High Purity Aluminum Powder, AlSi10Mg; AlSi7Mg; 6061; 7075, Aluminum Silicon Alloy Powder, Tin Powder; Nickel Powder; Copper Powder;Stainless Powder manufacturer and supplier Hay:H01HNJW
China Leading Ni-base Super Alloy Powder,Co-base Super Alloy Powder,Customized Metal Powder, (Ti-6Al-4V, Pur Titanium) Titanium Alloy Powder, Cobalt Alloy Powder, Fe-base Alloy Powder, Stainless Steel Powder,Aluminium Alloy Powder manufacturer Hay:H01TWZY
Global Leading Shape memory metal,superelastic nickel-titanium alloy wire,Superelastic Nitinol wire manufacturer and supplier Hay:H05USFW
Global leading NiTi Alloys supplier Hay:A04USAT
China Superalloy/high-temperature alloy precision casting manufacturer realizes the key hot end component of aero engine: mass production of hollow single crystal blade casting with complex structure Hay:T02GYHF
Chinese titanium alloy precision powder metallurgy manufacturer has overcome the near-net shape technology of titanium alloy powder, and successfully developed a titanium alloy impeller for hydrogen pump of hydrogen rocket engine Hay:A04ZKJS
China leading UHMWPE, aramid (PPTA), PET + PP, polyester PET, nylon PA, polypropylene PP, ethylene nylon PE) manufacturer’s special cable is used for the construction of deep sea oil and gas field operation platform Hay: D01ZJSX
China top special steel, die steel, cutting tool high-speed tool steel, titanium alloy,Powder metallurgy products material manufacturer and supplier Hay:A02JSTG
China Top Diamond grinding wheel,CBN / Cubic Boron Nitride Grinding Wheel,super hard material grinding wheel,Ceramic bond super hard material grinding disc,High-precision ultra-thin cutting wheel,electroplated ultra-thin cutting wheel manufacturer T10LYZY
The number of non-medical mask manufacturers in China that have received the US FDA EUA (Emergency Use Authorization) has been reduced from 45 to only 8
China leading Food Grade Aluminium Foil, Aluminum Sheet, Aluminum Coil, Aluminum Checker Plate manufacturer and supplier Hay:03HNMT
China leading steel mesh skeleton polyethylene composite pipe, Polyethylene for gas (PE pipe), Thermal insulation composite pipe, Steel belt reinforced polyethylene spiral corrugated pipe manufacturer and supplier Hay:D02SDFD
China’s special steel plate manufacturer successfully developed nickel-plated substrates for lithium battery shells, which are used in cylindrical lithium battery shells and caps Hay:A02HGHB
China leading polyimide nanofiber technology company developed PIP and polyimide / graphene composite nanofiber aerogel and foam filter material for KN95 and medical masks Hay: F07CCGQ
China leading superalloy/High temperature alloy,titanium alloy and special steel precision forgings:aero-engine blades, industrial steam turbine blades, blower blades and motor aluminum wind blades manufacturer and supplier Hay:T09WXFY
China lading wear-resistant spare parts:rubber wetted parts,rubber mill liners, trommels, rubber or polyurethane vibrating screen plates and panels, and rubber lined pipes and mining hoses manufacturer and supplier Hay:F01JSNP
Competition pattern of international superconducting materials industry
Global industrial structure of high-purity metal sputtering targets
China Grinding, wear-resistant materials: wear-resistant steel balls, wear-resistant steel forging, wear-resistant machine lining, heat-resistant steel, crusher wear-resistant materials manufacturer and supplier Hay:F01AHFX
Chinese special steel manufacturer successfully developed precision hot-rolled steel for alkaline batteries and lithium battery shell Hay:A02NBGT
Freudenberg acquired the global high-performance non-woven fabric manufacturer Low & Bonar PLC
China magnesium alloy, aluminum titanium alloy, aluminum titanium boron, aluminum strontium alloy manufacturers and zirconium-based amorphous alloy manufacturers jointly build magnesium-based amorphous alloy / liquid metal production base Hay:H06NJYH
Typical carbon fiber reinforced thermoplastic composites
Carbon nanotubes will become the mainstream conductive agent in the field of lithium batteries
Metal Matrix nanocomposites (MMNCs) have the advantages of high strength, high thermal stability, high ductility and isotropy
Application of Silicon Carbide Refractory Brick in Aluminum Electrolysis Cell
China leading titanium plate,zirconium plate,tantalum plate,nickel plate,rolled titanium anode plate,titanium flat bar,titanium tube,titanium welding wire,titanium alloy precision casting,large diameter thick wall seamless tube manufacturer Hay:A04NJBT
SpaceX’s 3D printer supplier and metal 3D printing technology developer Velo3D totaled more than $ 138 million in financing
Cellular ceramic architectures produced by hybrid additive manufacturing applied in porous burner and Heat exchanger
China leading Fence-type Anode for Copper, Zinc, Manganese electrowinning U-shaped beam anode plate for copper, Stainless steel cathode plate (coated copper), Aluminum cathode plate manufacturer and supplier Hay:F04KMLG
High-precision ultra-thin electronic copper foil manufacturer introduces 4.5μm high-end flexible copper foil Hay:A03DGHW
China leading aluminum plate-bar and tube-fin heat exchangers,coolers manufacturer and supplier for Construction machinery, Wind Power, Industry and Automotive Hay:F03WXXS
High-precision electronic copper foil,copper clad laminate manufacturer accelerated the development of ultra-thin lithium battery copper foil, high-end HDI copper foil, VLP copper foil, HVLP copper foil Hay:A03GDCH
Finnish miniFactory’s high-temperature 3D printer capable of printing high-performance polymers such as ULTEM, PEKK, and PEEK is launched in China
Korean researchers have developed a new conductive adhesive that can increase the density of integrated circuits by more than 20 times
China leading automotive aluminum alloy precision die-casting parts and components manufacturer and supplier for engine system, gearbox system, chassis system, brake system, body structure and other auto parts Hay:T02GDWC
Effect of rapid solid-solution induced by electropulsing on the microstructure and mechanical properties in 7075 aluminum alloy
Global leading manufacturer of hydraulic plunger pumps and motor parts build new precision forged hydraulic parts and precision forged parts and components production bases Hay:T03NBSS
The application of carbon fiber composite materials in drone has 4 major advantages
US Air Force and GE Additive Manufacturing jointly use 3D printed F110 engine components
China leading aluminum alloy precision casting engine parts, transmission parts, electric vehicle motors, electronic control parts, chassis parts manufacturer and supplier Hay:T02GDHT
Sepiolite nanofiber material, sepiolite sound insulation material, sepiolite thermal insulation flame retardant material, sepiolite nanocomposite material, sepiolite high temperature ceramic material manufacturer and supplier Hay:B06XTJT
HENSOLDT cooperated with Nano Dimension, a leading 3D printed electronics (AME) / printed electronics (PE) supplier, successfully assembled the world’s first 10-layer 3D printed circuit board (PCB)
German 3D printing company German SLM Solutions’ revenue in the first quarter of 2020 was 17.8 million euros, a year-on-year increase of 143%
British 3D printing company Wayland Additive launches new PBF electron beam metal 3D printing process
Hyundai, American pressure-sensitive material manufacturer Avery Dennison and Blue Red partners VC invest in Israeli light control glass technology company Gauzy
China’s high-performance carbon fiber composite materials and products manufacturers received nearly 100 million yuan in financing Hay:D02SHJF
China leading manufacturer of high-strength aluminum alloy precision structural parts Newly-built liquid (semi-solid) die forging (squeeze casting) aluminum alloy structural parts lightweight product project Hay:T03SDWQ
China uses stereolithography 3D printing technology to verify the on-orbit manufacturing of metal / ceramic composite materials with micron-level precision on the space station
China leading manufacturer of aero-engine precision casting blades and precision casting structural parts achieve mass production of complex structure hollow single crystal blade castings Hay:T03GYHF
Covestro and Teknor Apex announce cooperation agreement on composite thermoplastic polyurethane TPU
Chinese researchers have made progress in the research of titanium alloy high-temperature protective coatings
Novelis high-quality automotive aluminum sheet is used in “ARCFOXαT”, BAIC’s first highly intelligent pure electric SUV
SLM Solutions Group AG’ revenue in the first quarter of 2020 was 17.8 million euros, a year-on-year increase of 143%
China’s leading carbon fiber composite material technology company provides integrated solid rocket motor carbon fiber composite nozzles for new solid rocket motors Hay:D02HBHT
The newly designed electrolyte forms a protective layer over the silicon nanoparticles that make up the anode in a lithium-ion battery
Epoxy resin EP42-2LV Black developed by Master Bond Company meets NASA low outgassing standard
The United States has developed composite materials with excellent radiation shielding properties
Toyohashi University of Technology develops non-bonded carbon fiber reinforced plastic CFRP reinforced steel component method, which can be used in automobiles and other industries
China leading silicon nitride ceramic, zirconia ceramic cylinder liner, Silicon nitride ceramic water blocking ring, Alumina ceramic burning plate, LED ceramic substrate, alumina ceramic substrate manufacturer and supplier Hay:B03SDTC
China leading precision aluminum alloy forgings,porous extruded flat tube, 7050 aviation aluminum alloy structural parts manufacturer`s high-strength and high-toughness aluminum alloy profile production line put into production Hay:A03NTYT
China leading precision aluminum alloy parts and components for automobile gearbox, engine, oil pump, steering pump, generator and starter motor manufacturer and supplier Hay:Q01SDTS
China leading high precision 9Gr18Mo stainless steel ball, bearing steel balls, noiseless steel balls, special automobile steel balls, special hub steel balls and windmill steel balls manufacturer and supplier Hay:A03JSLX
KYB and REE Automotive collaborate to develop next-generation electric vehicle suspension
China leading high conductivity duralumin wire, cross-linked polyethylene insulated power cables manufacturer’s “expansion bus bus for smart grid UHV substation and its manufacturing technology” won the patent award Hay: F04WXYD
The amorphous nanocrystalline soft magnetic alloy strip/amorphous ultra-thin ribbon developed and produced by China’s high-end cold-rolled oriented silicon steel manufacturer has successfully entered the electric vehicle field Hay:H06TGJT
BorgWarner launches eTurbo, a high-performance electronic turbocharger
Three high temperature properties of silicon carbide refractories: high temperature oxidation resistance, thermal shock resistance, cryolite erosion resistance
Combining metal casting and forging technology into one, Chinese metal 3D printing equipment manufacturer has developed laser-arc metal 3D printing “micro casting and forging” technology Hay:H01WHTY
Russian materials scientists have developed a new type of hafnium cyanide ceramics, which can theoretically withstand a high temperature of 4200 degrees Celsius
The 5,000-ton high-performance para-aramid fiber material project invested by China Aramid Technology Group started construction Hay:D01ZHGJ
China leading continuous band-shaped nickel foam for Ni-MH battery, Punched nickel-plated steel band for Ni-MH battery manufacturer and supplier Hay:A05HNKL
Rare earth magnesium alloys produced by manufacturer of magnesium alloys, aluminum titanium alloys, aluminum titanium boron, aluminum strontium alloys are used in aerospace, automotive, electronics and other fields Hay:A03NJYH
Naming rules for superalloy/high temperature alloys grades
China leading polymer backsheet,thermal management materials, Thermal conductive pad manufacturer’s IGBT inverter thermal conductivity materials, Air conditioning IPM heat sink have passed Gree’s verification test Hay:F03SZSW
China leading microporous filter membranes, nanofibers and ultrafiltration membranes, ECMO membrane material, PMP oxygenated membrane wire, mobile phone sound-permeable membrane, breathable membrane manufacturer and supplier Hay:F07HZKB
Chinese leading para-aramid fiber manufacturer realizes industrial production of biodegradable plastics PBST and PBAT Hay:C03YZHX
Global leading Low-temperature superconducting (LTS) wires and cables:niobium-titanium (NbTi) -based superconducting wires and cables, niobium-tin (Nb3Sn) composite wires manufacturer and supplier Hay:H07UKLT
German high-performance polymer 3D printing company Kumovis completes 3.6 million euros in Series A financing
Heat pipes and VC penetrate into smartphones, and 5G stand-alone heat dissipation ASP is significantly improved
Thermal Interface Materials / TIM: China’s industrial chain is mature
China leading RF coaxial connectors, SMA, SMB, TNC, MCX, MMCX, N Type RF connectors, Pogo pin battery connector, precision turned parts, including copper, aluminum, stainless steel shafts and other precision parts manufacturer and supplier Hay:T01SZGC
Precision stamping dies and precision metal stamping structural parts manufacturer invest in precision automotive molds and precision automotive parts projects Hay:T01GDXX
High-frequency magnetic energy loss analysis and experimental study of magnetostrictive materials such as Fe-Co-V, Terfenol-D and Fe-Ga alloys
China leading ultra high strength alloy structural steel, titanium alloy, high temperature alloy, corrosion resistant alloy steel, non-magnetic steel manufacturer and supplier Hay:A02PGTG
China leading high-temperature & high-pressure seamless small-diameter seamless carbon steel/alloy steel pipe/tube for high-pressure boiler/OCTG pipe/tube manufacturer and supplier Hay:A02JSCB
China leading Electronic grade fiberglass products: Fluorine-free boron glass fiber, Glass Fiber Yarn, glass fiber composite separator felt, glass fiber copper clad felt manufacturer to invest in new special glass fiber projects Hay:D01SDBX
Solar grade electronic silver paste, front electrode silver paste, front silver paste for a crystalline silicon solar cell manufacturer invested and expanded 500 tons of electronic silver paste project Hay:I02SZJY
Polymer composite material can be used as a light and non-toxic radiation shield
Germany BRYSON develops a new type of universal integrated vehicle high-pressure hydrogen storage system
Germany built the world’s first mesh-type diagonal suspension arch bridge suspended completely on CFRP tension elements
Current status and development of medical titanium alloy and biological titanium alloy materials in China
Basalt short fiber applied in asphalt concrete
HP and BASF launch reusable PP polypropylene 3D printing material
University of Delaware utilizes XJet’s ceramic 3D printing technology to develop complex beamforming passive antenna lenses for 5G communications
Global leading tantalum alloy foils and tubes manufacturer Hay:A01XANB
China leading continuous casting electromagnetic stirring, The induction heating package, High-voltage inverter, Lifting magnet, Cable Reels, Tipper electromagnetic separator manufacturer and supplier F05HNZK
Graphene powder, graphene composite conductive agent, graphene-based high thermal conductivity carbon plastic alloy, graphene radiator manufacturer launched graphene conductive film Hay:H02XMKN
BOPET film, PET aging-resistant film, optical grade polyester base film, biaxially drawn diffusion film base film, electrical & electronic insulation films, composite materials, flame retardant materials and special resins manufacturer Hay:C04SCDC
Luminous ceramics
The 14MW wind turbine 111m blade developed by German aerodyn company uses carbon fiber glass fiber hybrid reinforced composite beam to reduce costs
Chinese researchers have developed high-strength and high-plasticity high-performance metal composite materials
scandium aluminum alloy manufacturer Hay:A03ZZQY
China silicon carbide single crystal substrate material / silicon carbide wafer industry completely get rid of import dependence
China Biaxially Stretched Polyimide PI Film & Sintering Magnet Wire,Glass-fiber Covered Magnet Wire,Douglas Magnet Wire,Dupont FCR PI Film Magnet Wire,Tin plating Copper Busbar,Megawatt Wind Power Motor Coil Manufacturer and Supplier Hay:F05SDZY
Research by British Cranfield scientists shows that zinc alloy is more suitable than aluminum alloy to increase the service life of auto parts
China grain soft magnetic alloy materials, nanocrystalline strips, amorphous nanocrystalline iron cores, rare earth permanent magnet products manufacturer lead the design of the international standard system of metal magnetic powder coresHay:F05BJAT
The Vapor Chamber: VC produced by China leading high-strength and high-thermal conductivity alloy material manufacturer successfully applied to Huawei matepad Hay:F03NBBW
China Electric contact alloy,Precision nickel base and copper base alloy, Precious clad/ nickel base and copper matrix metal composite materials, Hole Diamond Bearings, Cup Diamond Bearings, Diamond Nozzle manufacturer and supplier Hay:B06CQYB
China leading high-performance permanent ferrite wet-pressed magnetic tile manufacturer invests in new iron silicon aluminum, iron silicon metal powder core production line Hay:F05AHLC
SGL’s projects in automotive industry: carbon fiber reinforced silicon carbide C/SiC, carbon fiber reinforced plastic battery shell & cover plate, gas diffusion layer, carbon fiber composite material, glass fiber reinforced composite spring
Chinese manufacturer of silicon carbide reinforced aluminum matrix composite and large size and complex components awarded Hay:D05HNWC
Samsung and Corning collaborate to develop new ultra-thin flexible glass (UTG), which will be used for the first time on the Galaxy Z Flip
Manufacturing process and application of silicon carbide/SiC
Japanese cocoa motors uses Teijin carbon fiber reinforced thermoplastic composite laminate “Tenax TPCL” and Panlite series polycarbonate resin materials to develop carbon fiber reinforced thermoplastic composite material WALKCAR
China’s leading manufacturer of precision aluminum plates, aluminum strips, and ultra-thin aluminum foil introduces the German SMS extrusion line to produce high-strength large-size aluminum alloy profiles and extruded aluminum tubes for GIL Hay:A03HNMT
Huntsman’s China Polyurethane Materials Plant successfully commissioned
The 5G communication electronic copper foil developed by China’s High-frequency high-speed PCB electronic copper foil,RTF copper foil, HVLP very low profile electric copper foil manufacturer ranks in the forefront of the world Hay:A03TGTB
Application of infrared radiation ceramics
Korean researchers realize Ultrahigh high-strain-rate superplasticity in a nanostructured high-entropy alloy/Al9 (CoCrFeMnNi) 91 high-entropy alloy
Development and application of processing technology for polyurethane composites
Use expanded graphite, carbon fiber, or a hybrid PETG reinforced by both for 3D printing
Chinese researchers used ultrasonic treatment to prepare high-adhesion copper foil, effectively improving the peel strength of lithium electrode sheets
Global leading aluminum Silicon Nitride Ceramics/α/β SiAlON ceramics manufacturer and supplier Hay:B01SDZG
Successfully develops 6005A aluminum alloy for high-speed rail trains Hay:A03SDNS
Multi-layer heterogeneous magnetic tubular fiber material (TCF@Fe3O4@NCLs), showing excellent wave absorbing properties
Progress of high-entropy alloys in the field of 3D printing
China high-complexity, high-precision,high-strength precision MIM metal parts and components:Electronic radiators, sealed packaging, junction boxes for Medical Equipment, Automotive, Consumers, Electronics manufacturer and supplier Hay:T05SZXD
Nanoco and STMicroelectronics sign a five-year agreement to develop infrared sensor nano-quantum dot materials
The “Ni-based cryogenic container steel plate production process” developed by China Ni-based ultra-low temperature alloy steel: 3.5Ni, 5Ni, 9Ni ultra-low temperature alloy steel manufacturer won the first prize of the provincial patent award Hay:A02HGWG
Application of high-performance composite materials in railway and public transportation systems
China’s leading manufacturer of microprism reflective film materials mass-produces PC/PMMA composite films/sheets for the cover of a phone Hay:C02ZJDM
China stainless steel vacuum chambers, film coating machine chamber and semiconductor vacuum chamber for sapphire furnace, single crystal furnace, polycrystalline furnace, sintering furnace manufacturer and supplier
Global leading AlSiC composites:AlSiC baseplates,AlSiC Pinfins,AlSiC-pyrolytic graphic baseplates and AlSiC spacers for IGBT power modules manufacturer and supplier Hay:D04USCP
China leading automotive aluminum alloy precision die casting manufacturer acquires 51% stake in aluminum alloy high solid phase semi-solid molding/Rapid Slurry Forming (RSF) Technology Hay:T02NBAD
Garrett Motion and Mercedes-AMG jointly launch electric exhaust turbocharger
China leading Auto A/C hose lines,Auto heat exchange connecting tube,Auto precision aluminum Intercooler tube ,Receiving Drier manufacturer and supplier Hay:Q01CZTL
China leading Copper-based metal powder materials, high-end microelectronics tin-based solder powder materials, metal 3D printing alloy powder manufacturers successfully IPO Hay:A03BJYY
Transparent graphene electrode may bring a new generation of solar cells
The additive manufacturing department of YAMAICHI Special Steel explores the design of brake calipers for additive manufacturing
China Special Steel Technology Group released new products: very low iron loss oriented silicon steel/high magnetic induction oriented silicon steel, hot rolled heat-treated high-strength steel and nuclear reactor steel plate Hay:F05SHBG
South Korea SK Materials successfully developed high-purity hydrogen fluoride gas, Samsung Electronics and SK Hynix will be the first customers
China High-pressure cast aluminum precision structural parts manufacturer provides Mercedes-Benz with traditional aluminum die-casting structure rear shock towers and designated new energy vehicles aluminum die-casting structure rear bracket Hay:T02SDHN
Global leading copper clad laminates (CCLs) and prepreg for HDI, multi-layer PCB, high-layer-count PCB, IC substrate, rigid-flexible PCB manufacturer and supplier Hay:I04TWTG
PolyOne launches new ECCOH™ Low Smoke Free Of Halogen (LSFOH) for fiber optic cables
China leading Precision MIM & powder metallurgy structural parts: external gear, bevel gear, gear ring, double teeth, sprocket, rotor, eccentric cam, swing arm manufacturer and supplier Hay:T05YZHC
China has realized the application of polypropylene foam in the field of 5G radome and communication equipment for the first time
The Swiss Federal Institute of Technology Lausanne (EPFL) developed graphene-doped sodium batteries to effectively increase battery energy storage and service life
German Bionics develops the latest generation of carbon fiber exoskeleton
Magnesium-lithium alloy for China Aerospace industry Hay:A03ZZQY
Tesla purchases IDRA Group’s casting machine Giga Press for the production of Model Y large aluminum alloy precision castings
China leading crystalline silicon powder, molten silicon powder and spherical silicon powder manufacturer and supplier Hay:B04JSLR
China and Global leading high-purity alumina manufacturers
SLM 3D printed copper alloy material CuNi2SiCr with both strength and conductivity
Global Leading Precision Fabricated Products:Gold-Plated Materials,Metal Molds and Precision Pressing Products Manufacturer and Supplier Hay:A03JPJX
Chinese precision injection molding manufacturer invested 682 million yuan for the construction of special engineering plastic extrusion molding, special engineering plastic modification and precision injection molding projects Hay:C03SZTY
Indian and American researchers jointly develop high-performance magnesium alloys to significantly reduce vehicle carbon emissions
Germany uses ultrasonic technology to develop bio-composite automotive parts
China leading precision aluminum alloy die castings for automotive engine systems manufacturer and supplier Hay:T02JSRT
China leading NdFeB quick setting thin strip alloy sheet, samarium cobalt magnet manufacturer expands production capacity of high performance sintered NdFeB magnets for automotive motors Hay:F05AHDD
China’s leading automotive precision stamping parts manufacturer wins Tesla Model Y seat frame product order Hay:T01WXXW
China’s Photomask market has broad prospects, and Chinese Photomask manufacturers accelerate the localization process Hay:I03SZQY
China`s manufacturer of magnesium-aluminum alloy precision structural parts builds a new production base of magnesium-aluminum alloy precision structural parts and aluminum alloy precision structural parts Hay:T02SZJL
Chinese melt-blown non-woven fabric manufacturer mass-produced melt-blown non-woven fabric filter material with a particle filtration efficiency of 99%/KN99 or above Hay:F07LYSH
Titanium alloy coil, titanium alloy U-shaped heating tube characteristics and performance analysis
Application of LFT long fiber reinforced composite materials in the field of 5G communication equipment
China leading adsorption separation polymer resin,chelating ion exchange resin,Catalyst resin,Homogeneous resin manufacturer and supplier Hay:F07XALX
China low emission material, glass fiber reinforced high black and bright PC/ABS, reinforced composite material, high stability flame retardant material manufacturer and supplier Hay:C03HFHT
China`s leading Ceramic target, ITO indium tin oxide target, metal target, high purity copper target, high purity aluminum target manufacturer and supplier Hay:A01DGOL
Composites Evolution, a British prepreg supplier, has developed a variable temperature curing epoxy resin prepreg called Evoprep EPC200
Russia develops a new material, hafnium cyanide ceramic, can withstand high temperature of 4200 degrees Celsius
Formlabs announces six new materials compatible with Form 3, Form 3B and Form 2 stereolithography (SLA) 3D printers
Chinese aerospace materials company successfully developed transparent oxide high barrier film Hay:F08ZGHT
Chinese ultra-high molecular weight polyethylene fiber manufacturer invests in an annual output of 6,800 tons of high-strength high-modulus polyethylene fiber expansion project Hay:D01JSJJ
Protolabs launches cobalt-chromium superalloy for metal 3D printing
China leading functional thin-film materials, electronic-grade adhesive materials, and thermal management composite materials manufacturer invest in polarizer protective film projects Hay:C04JSSD
German Bionics and SGL Carbon developed the Cray X carbon fiber load-bearing structure: carbon fiber robot exoskeleton
China Leading Aluminum alloy plate and foil, brazed heat exchanger aluminum alloy material manufacturer successfully IPO Hay:A03SHHF
China high precision,HDI PCB, high density double-sided and multi-layered printed circuit boards/PCB manufacturer and supplier Hay:I04GDYD
An ultra-high temperature structural ceramic material: zirconium diboride ceramic
Chinese high-end carbon fiber composite material manufacturer achieves M65J high-strength carbon fiber technology breakthrough Hay:D02NBCL
China’s high-frequency nickel plated copper foil achieves import substitution Hay:A03JXJT
Brazing technology of silicon carbide/SiC ceramic parts
AGC high-speed dimming glass WONDERLITE® Dx, first installed in Toyota`s Harrier mass production car
Graphene copper grid embedded in polyimide (GCEP), made of a new material film, can make peroxide solar cells more durable
China leading cylindrical battery safety structural parts, lithium battery mirror tensile steel shell, lithium battery precision safety structural parts cap manufacturer successfully IPO Hay:N04CZZR
Monocrystalline silicon/polycrystalline silicon furnace graphite products for thermal field, graphite products for foundry molds, graphite parts for induction furnaces, high temperature thermal processing crucibles,graphite anodes manufacturer Hay:B06SXXC
U.S. ESE Carbon develops monolithic full carbon fiber composite wheels
Saint-Gobain has completed the testing of a new generation of environmental barrier coatings using rare earth silicates, which can be used to protect ceramic matrix composites
Apple, Samsung and Tesla’s Chinese supplier for high-complexity, high-precision metal powder injection molding/MIM parts and components Hay:T05CZJY
Global leading high strength 3D printed aluminum alloy manufacturers
LANXESS Adiprene prepolymers provide new possibilities for 3D printing
China leading high-strength precision forged parts and components for oil and gas development equipments: casing head, tubing head, and four-way body manufacturer and supplier successful IPO Hay:T03NJDW
Chinese special plastics technology company successfully mass-produced biaxially oriented polyethylene (BOPE) special materials and BOPE film Hay:C02ZGSH
BASF’s 3D printing brand Forward AM and Prismlab further strengthen cooperation in the field of 3D printing
High temperature titanium alloy forgings for aerospace, high temperature titanium alloy plates for aerospace, high temperature titanium alloy bars for aerospace: three aerospace titanium alloy standards will be implemented in 2021
The “cerium-zirconium solid solution project” developed by China’s rare earth magnetic materials, polishing materials, hydrogen storage materials, luminescent materials, catalytic materials breaks the foreign monopoly Hay:A06BFXT
Covestro and Trans-Textil cooperate in textile coatings to develop breathable water-based synthetic materials
Chinese rare earth neodymium iron boron permanent magnet material manufacturer successfully developed high performance rare earth samarium cobalt permanent magnet material for subway traction system Hay:F05ZGDK
China Special Ceramics Corporation invests in silicon nitride Si3N4 material project Hay:B01DLHW
The oriented silicon steel: electromagnetic steel plates for electric vehicles motors produced by China Special Steel Group gradually entered the supply chain of Tesla, BMW, Toyota and other companies Hay:F05BWJT
Dow launches new high-performance thermal gel DOWSIL TC-3065 for 5G technology
Manufacturer of high-performance corrosion-resistant materials, materials for wind turbine blades and new composite materials successfully IPO Hay:D02SHSW
China`s leading zeolite membrane,pervaporation separation membrane,steam osmotic separation membrane,nanofiltration membrane, reaction membrane,MOF molecular sieves membrane,carbon molecular sieves membrane manufacturer and supplier Hay:F07ZJHY
China Leading Optical Glass: colourless Optical Glass, Low Softening Point Optical Glass, High Transmittance Optical Glass, Special Flint Glass, Filter Glass Manufacturer and Supplier Hay:B04SCGM
Chinese special alloy material manufacturers bring copper-nickel-phosphorus alloy, copper-chromium-zirconium alloy, tin-nickel-silicon-copper alloy, high-strength and high-conductivity series alloy materials to the Munich Electronics Fair Hay:F04NBBW
Bosch,Emerson,Schneider’s Rigid-Flexible Board,High and Multi-Layer Board,HDI,Embedded Copper Board,Rigid Board, HF Mixed Compression Stepped Board manufacturer successfully entered the Tesla supply chain Hay:I04SZCD
Teijin’s Tenax® carbon fiber non-crimp fabric passed Airbus acceptance
Chinese optical fiber preform and optical fiber manufacturers build semiconductor quartz products and ultra-pure synthetic quartz materials projects Hay:B04TJFT
Amorphous Boron Nitride: A new interconnection insulating material that accelerates the promotion of next-generation chip systems
China’s 3 high-end forgings for aviation forgings, aluminum alloy and titanium alloy forgings manufacturers received US$100 million in titanium alloy forging orders from Boeing
Chinese leading manufacturer of high-precision electrolytic copper foil and lithium battery copper foil obtains capital increase from SK Holdings Hay:A03SZLB
China’s high-purification protective film, optical tape, and heat-dissipating graphite manufacturer provide mirror color explosion-proof film for Google smart home Nest smart thermostat Hay:C04SZXL
Global leading High purity graphite:Isotropic Graphite,Extruded Graphite,Glassy Carbon manufacturer and supplier Hay:B06JPDH
China leading cemented carbide roller rings,diamond abrasives,grinding tools,pure carbon contact strips,steel-bond cemented carbide, cemented carbide rods, plates manufacturer and supplier Hay:A04SDPL
The key technology and equipment research project for the industrialization of 50K large tow carbon fiber by the thousand-ton NaSCN method developed by a Chinese carbon fiber manufacturer passed the appraisal Hay:D02ZGLX
Liquid metal substitute ointment splint for orthopedics clinic
China leading aerogel insulation material:aerogel felt/blanket, aerogel board/Panel, aerogel granulate manufacturer and supplier Hay:F03GDAL
MIT uses “silver-silicon-copper alloy” to simulate synapses, creating a chip with super memory
China’s leading manufacturer of automotive precision forged gears and precision forgings was awarded
China’s leading deformed superalloys,Ultra-pure high-temperature alloy materials, complex thin-walled high-temperature alloy structural parts manufacturer successfully listed Hay:A04JSTN
China’s leading titanium alloy tubing and high alloy coiled tubing seamless pipe for oil well manufacturer successfully developed corrosion-resistant, high-temperature resistant high-alloy/stainless steel continuous seamless tubing Hay:A02SXYG
China leading ultra precision stamping,forging parts,3C precision structural parts, aluminum and copper deep extrusion parts manufacturer and supplier Hay:T03SZFC
Competing with Corning,AGC, and NEG on the same stage, the G8.5+ large-size substrate glass and low-temperature polysilicon (LTPS) substrate glass production line of a Chinese liquid crystal glass manufacturer was successfully put into product Hay:B04HFCH
Global Leading Copper Alloy Components,Mesh,Rods and sectional rods,Roller device for railway points,Slide bearings,Strip and sheets,Tubes and finned tubes,Wire and sectional wire manufacturer and supplier Hay:A03DEWL
BASF’s innovative material solution Ultramid® polyamide material and simulation tools help create award-winning office chairs that are lighter and more durable
Tubular ceramic membrane production line and ultra-thin flat ceramic membrane production line invested by Chinese ceramic membrane manufacturers were completed and put into operation Hay:F07CQPD
The high-fluidity transparent polypropylene resin production line constructed by China Modified Polymer Materials Technology Company was put into operation Hay:C02ZGZH
China’s leading manufacturer of precision aluminum tubes and microporous flat aluminum tubes plans to acquire Mitsubishi Aluminum’s 51% stake in automotive aluminum radiator flat tubes Hay:T06SZAF
Honeywell and SLM Solutions team up to improve aluminum alloy AlSi7Mg0,6 (A357) 3D printing performance
China’s leading magnesium alloy and aluminum alloy precision die-casting manufacturer successfully achieved an IPO, and the funds raised will be used for high-strength magnesium alloy precision die-casting production projects Hay:T02NBXY
China’s high-performance alloy material research and development institution has developed a lightweight, high-strength, high-damping, high-energy-absorbing magnesium-nickel-titanium bionic composite material Hay:D04ZKJS
Siemens Healthineers acquires Varian Medical Systems
Toray`s high-modulus carbon fiber and composite materials provide structural materials for the “Perseverance” Mars rover
European Teijin Carbon Co., Ltd. promoted to the tie-2 supplier of the National Composites Center (NCC)
Chinese metal matrix composite material technology company provides aluminum matrix composite material for China’s Beidou global satellite navigation system Hay:D04ZKJS
China`s leading powder metal structural parts and soft magnetic materials components manufacturer focus on MIM technology Hay:T05ZJDM
China’s hydrocarbon, PTFE, and thermosetting material High frequency and high speed copper clad laminate break the US technology monopoly, embrace Huawei, and have huge domestic substitutes Hay:I04DGSY
Global Carbon Fiber in Light Weight Pressure Vessels market size will reach USD 486.5 million to 2027
In-depth research on the carbon nanotube industry: excellent new conductive materials
Teijin provided carbon fiber “TENAX®” intermediate materials “: TENAX Dry Reinforcements Non-Crimp Fabrics” and “TENAX Dry Reinforcements Braided Fibers” for the wing spoiler of Airbus’s main airliner “A320neo
China’s leading precision optical lens manufacturer gradually dominates the high-end optical lens market such as high-magnification optical zoom and HD Hay:F06ZSLH
China reflective strip prism, lens, high-precision glass wafer, high-precision glass aspheric lens manufacturer, Apple, LG, Huawei optical lens supplier successfully IPO Hay:F06ZJLT
TC4 titanium alloy: heat treatment method for Ti-Al-Sn-Zr-Mo-W-Si alloy series α+β type heat-strength titanium alloy forgings
China`s leading Quartz fiber,Quartz Boat Rack,Diffusion Furnace Tube,Quartz Crucible,Quartz Heat Shield,Quartz Furnace Door,Quartz carrier,Quartz ring,Quartz flange,,Quartz connector,Quartz Granule manufacturer and supplier Hay:B04SHFL
China leading organic silicone sealants and silicone rubber strips manufacturer`s Manufacturing Method for Acid Gas Corrosion and High Temperature RTV Silicone Rubber” won the China Patent Excellence Award Hay:C06CDGB
China`s leading ultra-fine electronic grade glass fiber manufacturer released a new ultra-high modulus glass fiber E9 with a modulus of over 100 GPa Hay:D01JSJT
China leading Ultra-fine high-purity nickel powder, copper powder, silver powder, capacitor electrode nickel powder, nano-level electronic special alloy powder:CuMn,CuNi,FeSi manufacturer and supplier Hay:A05JSBQ
Chinese researchers have successfully developed a super-flexible electromagnetic shielding material/EMI, with a shielding efficiency of 99.999999%!
China leading precision forging auto parts:connecting rods,crankshafts, control arms, steering knuckles,ball joints,tie rods,hubs, axles,drive shafts,high pressure common rail manufacturer and supplier Hay:T03WHSL
China’s high-purity sputtering targets: manufacturers of aluminum targets, titanium targets, tantalum targets, and tungsten-titanium targets used for mass production of high-end targets for 5nm process Hay:A01NBJF
Shinwha Intertek enters the OLED packaging film market and has sent samples to LGD
Sherwin-Williams launched FasTop™ Multi Systems: a set of polyurethane floor solutions with many excellent properties such as wear resistance, hygiene, chemical resistance and slip resistance
Leading rare metals and alloy supplier Hay:A04XANB
Osram and Kyocera develop Micro LED drive technology
Research & Markets: The global market for composite materials in the transportation sector will reach 59.8 billion U.S. dollars in 2025
Toyota and Kyoto University research fluoride ion batteries, which can last up to 1,000 kilometers on pure electricity
After acquiring the optical adhesives division of Hitachi Chemical in Japan, a Chinese electronic optical adhesive manufacturer invested in LCD and OLED high-end optical adhesive production lines
China Aerospace Dynamics Composite Materials:Ceramic matrix composites, C/C composites, thermal barrier coatings, high-entropy ceramics, microstructure design and Application 2020 Academic Conference was successfully held
After the acquisition of Solvay’s polyamide PA business, it developed advanced material solutions for key industries, including new high-temperature resistant polyamide grades
Ethylene chlorotrifluoroethylene: ECTFE-High-performance semi-crystalline fluoropolymers production process
China’s leading manufacturer of molecular sieve adsorbents, oxygen molecular sieves and hydrogen molecular sieves, Molecular Sieve Powder, Zeolite Powder, Activated Alumina releases first half financial annual report Hay:F07LYJL
High-performance materials: Polyimide fiber,polyimide engineering plastic,polyimide film,polyimide adhesive,polyimide foam providing more solutions for industrial development
China’s leading throttle valve, EGR valve manufacturer produces commercial vehicle heavy truck natural gas injection systems for Bosch in China
China`s aluminum alloy high-pressure casting manufacturer introduces Buhler and Toyo die-casting equipments to build new aluminum alloy castings for automotive batteries,motors,and electronic control housings Hay:T02DLYM
China’s leading manufacturer of semiconductor high-temperature precision quartz products builds a new 6,000-ton electronic-grade quartz product production line Hay:B04JSTPY
Rize,a new-generation additive manufacturer,launches Rizium fiberglass material for 3D printing
Hewlett-Packard,GE Additive,Exone,Digital Metal,XJet adhesive jet metal 3D printing technology brings changes to the industrialized production of automobiles
China’s leading precision transmission gear manufacturer provides high-precision gears for German ZF transmission Hay:U02ZJSH
China’s leading high-performance ceramic material manufacturer completed the construction of the third high-performance ceramic matrix composite production line Hay:D05FJLY
Chinese researchers have prepared a chiral graphene oxide nanofiltration composite membrane (rGO/PLDA) with ultra-stable performance and ultra-strong structure in liquid separation
China’s leading manufacturer of precision copper alloy strips, precision copper alloy tubes,high-conductivity and high-toughness copper wires,copper-iron alloys Hay:A03NBJT
Introduction to the molding process of thermoplastic carbon fiber composites
Following the mass production of Dowooinsys/Samsung ultra-thin flexible glass UTG in South Korea, the first UTG production base of ultra-thin flexible glass in China started Hay:B04AHSD
China’s leading high-precision copper alloy manufacturer invests in the construction of high-precision copper alloy strips, high-conductivity and high-toughness copper wires, and high-strength and high-conductivity copper alloy rods and wires Hay:A03NBJT
Introduction to the new project of China’s leading PBAT biodegradable plastic manufacturer Hay:C03SDRF
Chinese researchers have made new progress in “Nano-dual-phase metallic glass film enhances strength and ductility of a gradient nanograined Magnesium alloy”, which combines high strength and high plasticity
China’s leading NdFeB rare earth permanent magnet materials, KPM magnets, VCM magnets, NdFeB magnets Steel/alloy manufacturer released the first half of the financial report Hay:F05NBYS
China’s leading manufacturer of high-strength magnesium alloy and aluminum alloy precision die castings successfully IPO Hay:T02NBXY
China’s leading BOPET polyester film, aluminized film, PVC functional film, variable information material film, heat shrinkable material film, TTR carbon tape film,laser screen film manufacturer has strong growth in optical materials business Hay:F06JSSX
The demand for high-strength and high-rigidity modified nylon is growing rapidly, and products such as inorganic whisker reinforced PA and carbon fiber reinforced PA are welcomed by the market
Aeroengine blade materials: deformed high-temperature alloys, cast high-temperature alloys, superplastic forming titanium alloys, intermetallic compounds
Owens Corning Hangzhou plant insulation material: PINK glass wool new production line officially launched
The high-purity aluminum produced by China’s leading ultra-high-purity aluminum sputtering target substrate manufacturer is used to produce electronic aluminum foil,aluminum mirrors,storage hard drives and magnetic levitation materials Hay:A01XJZH
China’s aviation and rail transit aluminum alloy material manufacturers accelerate their occupation of more high-end aluminum alloy applications Hay:A03LNZW
Chinese leading manufacturer of precision molds and precision metal structural parts,zinc alloy,aluminum,magnesium alloy die-casting ,metal stamping parts for electronics industry Hay:T02SZXY
China’s leading manufacturer of polymeric silicone,silicone rubber for liquid injection molding rubber Hay:C06SZSR
AMRC engineers are assigned to design high-precision drilling operations for aerospace parts of carbon fiber, aluminum and titanium materials, and print precision parts for Airbus
Boeing approved Hexcel’s continuous carbon fiber reinforced PEEK (CCF/PEEK) 3D printing composite material: HexPEKK-100 material
Chinese leading manufacturer of steel-plastic composite tape, aluminum-plastic composite tape,copper-plastic composite tape, stainless steel-plastic composite tape,aluminum-plastic composite foil,copper-plastic composite foil Hay:D04SHWX
Teijin`s Tenax dry-reinforced carbon fiber material (DR) has passed the qualification certification for Airbus A320neo wing spoiler, and will provide Airbus with cost-effective carbon fiber composite materials
China’s leading manufacturer of special alloy high-precision forgings and magnesium alloy ring forgings successfully IPO, and will build new production lines of special alloy material precision forging parts for aero engines and gas turbines Hay:T03WXPK
China’s leading manufacturer of precision aluminum alloy castings, supplying a variety of Tesla products and Volkswagen MEB platform products Hay:T02GDWC
Demand for high-performance rare earth NdFeB permanent magnets will explode
PolyOne and Clariant masterbatches:AVIENT launches a new generation of Edgetek™ 3D/LDS solutions in Asia
The first deep well test of titanium alloy drill pipe produced by a Chinese oil field drill pipe manufacturer succeeded Hay:A04BHNK
Microfiberglass filter paper supplier Hay:F07CQZS
Chinese leading manufacturer of insulation sleeving, composite insulation paper, insulation ribbon,ATF oil resistant insulation paper Hay:F04SHXR
Sandvik launches new Sanicro® 35 super austenitic alloy material
The aerospace-grade titanium alloy rod and wire production line constructed by China’s leading titanium alloy precision rod, plate, and forging manufacturer was successfully put into operation Hay:A04SXTC
Chinese researchers develop high-strength/high-ductility intermetallic alloys, which can be used in aerospace/automotive…
Blasch Precision Ceramics, Inc. Introduces New Silicon Carbide Material: OXYTRONTM–Oxide Bonded Silicon Carbide: OXYTRONTM 015XDII
China’s leading manufacturer of seamless stainless steel pipe, Weld Electrode Overlaying Strip, super corrosion-resistant stainless steel, duplex steel round bar, cobalt-based alloy steel strip Hay:A04ZJZD
The University of Kentucky and ORNL cooperate on a multi-million dollar project: Converting coal into high-value carbon fiber
Sandvik launches a new super austenitic alloy material: Sanicro 35 (alloy 35Mo)
Global Leading manufacturer of precision stamped & fineblanked parts,micro-stamped parts, special Round or flat alloy wire,highly complex strip and wires parts Hay:T01DEKL
The global leading manufacturer of The Versatile Melamine Resin Foam:Sound absorption,fire resistance,thermal insulation materials Hay:F02DEBS
China`s leading manufacturer of auto motive engine EFI systems and high-pressure oil high precision components:rail system,brake pipe system and VVT oil valve Hay:Q01ZJQJ
Chinese leading manufacturer of zirconium oxide,metallic zirconium, zirconium oxychloride,single crystal fused aluminum,liquid metal and lithium battery giant CATL established a joint venture to produce magnesium-aluminum alloy auto parts Hay:A03FJSCA
Cree builds the world’s largest SiC device manufacturing plant and expands SiC production capacity
SABIC expands NORYL™ SA9000 specialty resin production capacity in Asia to better support the rapidly growing demand for high-performance printed circuit board (PCB) products for 5G base stations and high-speed servers
China’s composite material market has a bright future, and leading international composite material manufacturers have deployed in China.
Chinese leading manufacturer of precision Zinc white copper strip,red copper strip, precision lead frame Tin phosphor bronze belt,brass strip,tinning copper alloy strip,nickel silver strip,copper-nickel-silicon strip Hay:A03AHMZ
China`s leading manufacturer of superfine alumina powder for lithium battery separator coating,tabular alumina,sintered spinel,calcined/reactive alumina Hay:B01ZJZL
Russian applied unique method to produce tungsten carbide nanopowder, which is simple and economical
Titanium-zirconium-molybdenum alloy/TZM molybdenum rod is an excellent material for producing nozzles of hot runner systems for injection mold
China`s leading manufacturer of oriented silicon steel,low iron loss and high magnetic induction oriented silicon steel (Hi-B steel) for UHV transformer core Hay:F05WXHJ
Americhem, the world’s leading developer and manufacturer of customized masterbatches, functional additives, modified engineering plastics and high-performance materials, officially announced the acquisition of Controlled Polymers
Covestro and Foam Partner collaborate to provide sustainable polyurethane foam solutions for automotive interiors
China’s Top four high-end high-purity sputtering targets [semiconductor targets: tantalum target, aluminum target, titanium target, copper target, tungsten titanium target, flat panel display] manufacturers development status
China’s ultra-thin titanium alloy strip manufacturer successfully developed titanium alloy profiles Hay:A04SCPG
Next-generation information storage materials-anti-ferromagnetic materials
German Continental Tire Company’s rubber tire mold wear plate supplier, China’s leading manufacturer of powder metallurgy metal plastic composite materials, powder metallurgy metal graphite materials, filled PTFE products Hay:F01ZJFL
China’s leading manufacturer of mineral insulated cables, low-temperature superconducting cables,aviation cables successfully won the bid for offshore wind power submarine cable projects of nearly 320 million yuan Hay:F04YZBS
China’s leading Nano ceramic particles/silicon carbide/SiC-reinforced aluminum matrix composite material manufacturer receives 2 billion development advanced materials fund Hay:D04AHTL
Coexpan, a subsidiary of Grupo Lantero, and Trinseo, a manufacturer of rigid plastic sheets and thermoformed products and materials company, jointly launched a work to validate various polystyrene recycling technologies
Graphene can significantly improve the strength and toughness of metal layered composites
Nippon Sheet Glass has developed a glass with anti-virus and anti-bacterial functions, which can reduce the number of viruses attached to it by 99%
China’s leading manufacturer of high-performance NdFeB permanent magnet materials and high-performance samarium-cobalt permanent magnet materials successfully IPO Hay:F05BTTH
Nissan has made breakthrough progress in the field of carbon fiber and developed a production process
A breakthrough in the manufacture of silica aerogel microstructures, 3D printing is the key
China’s leading manufacturer of high-end precision spring shrapnel, precision tube forming, MIM metal powder injection molding, precision stamping and stamping drawing parts, multi-functional metal forming parts, precision micro CNC processing Hay:T01TWFL
China’s leading manufacturer of zirconium, hafnium, hafnium-free nano-grade zirconia, corrosion-resistant zirconium-based alloys, and high-temperature-resistant hafnium-based alloys Hay:A01SHHF
Development status of China’s third-generation semiconductor material gallium (GaAs) manufacturers
The world’s first 10,000-ton continuous basalt fiber tank kiln production line was officially put into operation Hay:D01SCBX
Reportlinker: The scale of global epoxy resin composite materials will reach US$24.2 billion in 2027, with a compound annual growth rate of 5.1%-With a list of the world’s leading manufacturers of epoxy resin-based composite materials
China’s aluminum alloy plate,strip and foil material,brazed aluminum heat transfer composite materials manufacturer successfully IPO, will build a new aluminum plate, strip and foil project with an annual output of 200,000 tons Hay:A03SHHF
The U.S. Air Force Research Laboratory develops new hybrid nanomaterials for the manufacture of ceramic matrix composites
DOMO Chemical Expands Thermoc Enhanced Polymer Series, Launches PEEK Solution to Replace PAI
BASF releases its new strategic brand Infinergy® foamed thermoplastic polyurethane (E-TPU)
Global leading manufacturer of Beryllium copper forged parts and beryllium aluminum alloys Hay:A03ZGYS
The development status of China’s titanium welded pipe
The leading niobium zirconium ingots supplier Hay:A03ZGYS
China’s leading manufacturer of precision cold stamping and optical etching lead frames for semiconductor integrated circuit Hay:I04GSHY
DSM launches 3D printing polypropylene powder material Arnilene 6002, which has obtained food contact certification in Europe
Checkerspot expands its innovation platform to provide market with microalgae-based polyurethane materials
China’s aluminum honeycomb core, aramid honeycomb core lightweight composite material manufacturer and COMAC jointly develop lightweight aviation honeycomb composite structure materials Hay:D02HLZH
Chinese leading manufacturer of Ultra-fine high-purity non-oxide:TiC, ZrC, titanium carbonitride Ti(C,N) ceramic powder, Boron nitride matrix composite material board, ultra-high temperature refractory carbide-tungsten composite material Hay:B01JLCY
Chinese manufacturer of ultra-high strength and toughness hot stamping steel (tensile strength up to 2000 MPa) successfully developed ultra-high-strength structural steel (tensile strength up to 1200 MPa) Hay:A02LNBG
Galaxy Magnesium cooperates with Panos Racing to create safe, high-performance magnesium alloy lightweight car technology for racing
The microcrystalline alumina continuous fiber project of China’s high-performance continuous SiC ceramic fiber technology manufacturer was selected as “Suzhou Material Innovation and Technology Plan” Hay:B03SZRT
China`s leading manufacturer of UltraFine Copper Powder,Cu/Sn Diffusion Powder,The electrolytic copper powder,Copper Alloy Powder,Tin powder,UltraFine Cobalt Powder,Ultra-Fine Iron Powder,Infiltration Copper Powder Hay:A03BJYY
Chinese leading manufacturer of Aluminum titanium boron grain refiner Hay:A03ZZQY
3D printing is no longer black and white, the silver nanoparticles developed by German researchers combined with polymer powder materials bring rich colors
Chinese leading manufacturer of recision Alloy Strip:Copper-chromium-zirconium alloy,Copper-nickel-tin alloy,Copper-nickel-phosphorus alloy,Copper-nickel-silicon-cobalt alloy,Copper-tin-phosphorus alloy,Tin-phosphorus-zinc-copper alloy Hay:F04NBBW
Application examples of continuous fiber reinforced thermoplastic composites in auto parts
Analysis of the influence of the quality of continuous carbon fiber reinforced PPS prepreg tape on the quality of winding pipes and laminates
Third-generation semiconductor materials: the rise and future of SiC, an ideal material for extreme power devices
2020 International Automotive Lightweight Conference and Exhibition will be held in Yangzhou on September 21
China’s leading manufacturer of Class A flame-retardant insulation material: inorganic plasticized microporous insulation board successfully developed “inorganic plasticized microporous insulation board for external wall insulation system” Hay:K06ZZGD
China’s leading manufacturer of high-precision and high-performance aluminum alloy plates and strips successfully developed 2 series duralumin alloy hot-rolled coils Hay:A03GXYH
China’s medical titanium alloy industry research heats up, patent applications increase
China’s leading manufacturer of amorphous microcrystalline alloys, cast Al-Ni-Co alloys, corrosion-resistant alloys, high-temperature resistant alloys, ultra-high-strength steels released carbon steel rare earth alloys and other new products Hay:A02ZGGY
miniFactory cooperates with NLR to promote the application of FFF 3D printing carbon fiber reinforced high-performance polymers in the aerospace field
Global Leading Manufacturer of Precision MIM structural parts: skateboard hinges, hinge hinges, notebook hinges, 3G network card hinges, shielding covers, antenna structural parts Hay:T05USAF
Chinese leading manufacturer of High Density High Performance Powder Metallurgy,Precision Metal Injection Molding/MIM parts and components:Precision MIM Medical devices-biopsy tools, forceps, surgical scissors Hay:T05TWZY
China’s leading manufacturer of lactic acid, calcium lactate, sodium lactate, and lactate has invested in the project of PLA,a new biodegradable polylactic acid material Hay:C03HNJD
China’s titanium alloy tubing, high-alloy coiled tubing, and oil well seamless steel pipe manufacturer builds China’s first corrosion-resistant alloy tubing research and development platform Hay:A02SXYG
Boehmite/polyacrylonitrile composite nanofiber separator: a potential high-performance lithium battery separator
The aluminum-copper alloy and aluminum-tin-copper supplier Hay:A03ZZQY
China’s leading biaxially oriented polypropylene lithium battery separator manufacturer successfully developed nano-ceramic coated power battery separators Hay:N02AHJN
Carbon nanotube fiber CNTF with strength surpassing aramid fiber and conductivity breaking 10MS/m for the first time
China’s leading manufacturer of Anti-PID EVA film,transparent EVA film, POE film, PVDF fluorine film for backsheet successful IPO
The deep-sea composite pressure-resistant shell developed by a Chinese manufacturer of high-performance thermoplastic composites won the CCE-JEC Innovation Award Hay:D01ZCHE
Chinese researchers published in “AM”: Liquid Metal Initiator of Ring-Opening Polymerization: Self-Capsulation into Thermal/Photomoldable Powder for Multifunctional Composites
China’s leading manufacturer of low-dielectric, low-loss, high-strength, high-modulus and high-performance glass fibers, special-shaped glass fibers have achieved multiple core technological breakthroughs Hay:D01CQGJ
Chinese leading manufacturer of Aluminum alloy, magnesium alloy, zinc alloy precision die-casting precision structural parts: for laptops, smart homes, 5G base station shields, game consoles,POS machines Hay:T02DGFS
OMEGA perfectly interprets Liquid metal and Zirconium Dioxide (Zr02) ceramic precision structural parts
Chinese leading manufacturer of Precision Motor Lamination Cores,Precision Stamping Die and Precision Stamping Structural Parts Business For Lithium Battery Hay:T01NBZY
Chinese leading manufacturer of Stainless steel Decorative Sheets:PVD vacuum ion plating Coated Stainless steel plate, Hairline Stainless steel,Mirror Stainless steel,Etching,Fingerprint Free,Vibration Stainless steel Plate Hay:A02ZJBH
Development of Corrosion resistant pure titanium/titanium alloy tube/pipeline Industry in China’s Shipbuilding and Marine Industry
Chinese leading manufacturer of multi-layer metal clad products:Aluminum-steel clad strip, aluminum-stainless steel, aluminum-copper, aluminum-titanium, copper-steel and steel-stainless steel clad materials Hay:D08WXYB
Chinese leading manufacturer of Nano aerogel insulating blankets, insulating membrane, aerogel insulating boards, aerogel concrete, aerogel glass, aerogel paint Hay:F03BJRZ
China’s leading titanium alloy tube manufacturer mass-produces medical grade titanium capillary tubes: outer diameter 0.5 mm, wall thickness 0.02 mm Hay:A04SCJX
SABIC launches innovative TF-BOPE film for frozen food packaging
Arkema, CANOE, ENGIE, Suez, LM Wind Power, and Owens Corning jointly develop 100% recyclable composite wind turbine blades
Armacell once again announces plans to expand the production of PET foam, and the annual production capacity of its headquarters plant will reach 180,000 cubic meters
ESTIA-Compositadour develops aerospace thermoplastic composites with complex geometries
China’s leading manufacturer of cold-drawn and cold-rolled precision bearing steel seamless steel tubes and petroleum pipelines Hay:A04ZJJL
Chinese researchers have made progress in the continuous preparation of carbon nanotube transparent conductive films
The project of “SiC/SiC composite ATF fuel element key technology research” in the field of nuclear power in China launched
Chinese leading manufacturer of Graphite Heat Exchanger,Graphite Absorber,Graphite Column,Graphite Storage Tank,Graphite Tube Hay:B06NTXQ
Chinese leading manufacturer of Gas insulated metal enclosed transmission line (GIL), cable connector,Cable support fixing system Hay:F04JSAK
Chinese leading manufacturer of Medium & high pressure hydraulic cylinders:piston cylinder,telescopic cylinder,tie rod cylinder,Side tipper cylinders for the Trucks,Coal Mining,Metal Forming,Ship,Oil Rigs,Ocean Engineering Industry Hay:R08SDWT
China’s high-performance meta-aramid, para-aramid, and aramid honeycomb composite material manufacturers hosted the advanced polymer material innovation and development forum successfully held Hay:D01YTTH
China’s leading manufacturer of heavy industry equipment, heavy lifting equipment, steel segments mould has successfully developed the drum-type mixing and reclaimer Hay:V05LNTL
Silicon carbide particle reinforced aluminum matrix composites Hay:D04BJBH
China’s leading manufacturer of 3D glass and liquid crystal glass substrates invested 7 billion RMB to expand the production line of G6-OLED carrier glass and neutral borosilicate glass tube Hay:B04HBDX
Chinese companies invest in Israeli LIGCAPPLICATIONLTD’s laser-induced graphene technology
China’s leading nano aerogel material manufacturer has invested in the construction of aerogel thermal insulation felt, aerogel phase change material, aerogel thermal insulation board production line Hay:F03BJRZ
Hexagon won the Gold Contribution Award of the 2020 World Industrial Internet Conference
The neutral borosilicate medicinal glass tube developed by China’s leading ultra-thin electronic glass manufacturer won the China International Industry Fair Award Hay:F08AHKS
Chinese leading manufacturer of multi-component glass fibers,quartz optical fiber,polymer optical fiber, multi-component glass fiber image bundles, industrial endoscopes and liquid core optical fibers Hay:F06NJCH
China’s leading manufacturer of synthetic crystal,Ultra low expansion glass ceramics, and mid-wave infrared window crystal materials Hay:B06ZCJT
Amorphous metal alloy/liquid metal supplier Hay:H06DGYH
Micro 3D printing system manufacturer Boston Micro Fabrication (BMF) launched the ultra-precision industrial grade micro 3D printer microArch S240
Global leading manufacturer of Hydraulic Hammers, Booms, Pulverizers, Cutter-Crushers, Scrap Shears, Multiprocessors and Grapples Hay:T02FIRA
Chinese leading manufacturer of Single Sided,Double-sided,Multilayer PCB,Metal-based PCB,OSP Printed Board,HASL,Immersion Gold /ENIG,Carbon PCB,Composite Substrate Printed Circuit Board Hay:I04CZAH
Chinese leading manufacturer of Heavy duty Cross-axle Universal Coupling, Crowned Teeth Gear Coupling, Universal Bearing, Hot Rolling Mandrel, Cold Rolling Mandrel Hay:U02AHTE
Chinese leading manufacturer of tellurium copper alloy,Tin bronze,lead-free cutting hot forging bronze alloy,Lead free cutting corrosion-resistant silicon bismuth brass,lead free cutting high strength and high conductivity copper alloy Hay:A03NBXA
Excellent performance of silicon nitride combined with silicon carbide ceramic materials
China’s leading manufacturer of high-strength and high-conductivity copper alloy rods and wires, copper alloy tubes, and special-shaped precision copper bars continue to expand production capacity Hay:A03NBJT
Japanese fiber manufacturer Omikenshi has developed a material for run-flat tires: cellulose fibers mixed with high-strength carbon nanotubes
Chinese leading manufacturer of mild steel welding wires, stainless steel welding wires, aluminumalloy welding wires, flux-cored wires, low alloy steel welding wires, submerged arc welding wires, electroless copper plating welding wires Hay:F01CZHH
China’s leading high-performance carbon fiber composite material, high-end 3D printing metal powder manufacturer successfully achieved mass production high-purity carbon powde[6N] Hay:B06HNDL
British equipment manufacturing company Equipmake and HiETA cooperated to develop the Ampere motor project to produce the world’s largest power density permanent magnet motor
High temperature alloy and forging and casting industry development
Lextar signed a technology license with X Display Company, a leading US MicroLED company, to accelerate the mass production of Micro LED
The merger and reorganization of forging and casting companies in the aviation sector is the main reason for the current highly concentrated market structure
Moi Composites uses continuous fiber thermoset composites to make MAMBO 3D printed boats
Thermistor ceramic series: NTC [Negative Temperature Coefficient] thermal ceramics
Researchers from China and the US have made important progress in the field of bulk nanocrystalline and ultrafine crystalline materials, and first proposed the concept of Ultra-Uniform Nanocrystalline Materials
Chinese leading manufacturer of Amorphous Alloys/Nanocrystalline Materials:Amorphous Ribbons and Components,Nanocrystalline Ribbons,Nanocrystalline Cores,Nanocrystalline Components,Magnetic Shielding Sheets Hay:F05BJAT
Chinese leading manufacturer of high precision, high density multilayer PCBs,5G PCB,Line Card,Backplane,HDI,Rigid-Flex PCB,HDI Rigid-flex Board Hay:I04DGSY
China’s micron diamond wire, electroplated diamond wire manufacturer expands the application of diamond wire in sapphire, magnetic materials fields Hay:B06SXYL
China’s leading PCB photosensitive ink manufacturer enters the photoresist field Hay:I04JSGX
Important and special tungsten copper material
Nanocrystalline magnetic material supplier for Apple and Samsung’s wireless charger Hay:F05BJAT
Japan’s METI strongly supports gallium oxide (Ga2O3): Ultra Wide Band Gap (UWBG) semiconductor material industry
China’s leading manufacturer of window touch protective glass panel, 3D curved glass, will invest 15 billion yuan to accelerates the industrial chain layout Hay:B04HNLS
“The 20th China International Mould Technology and Equipment Exhibition (DMC2020)” was held at the National Convention and Exhibition Center (Shanghai) from the 10th to the 13th
Chinese leading manufacturer of high-conductivity copper alloys, high-strength high-conductivity alloys, high-conductivity and high-temperature-resistant copper alloy for automotive connectors, sensors, relays Hay:F04SHJT
China’s leading manufacturer of high-performance rare earth permanent magnet servo motor, vertical axis rare earth permanent magnet wind turbine Hay:U01JXZK
As a third-generation semiconductor material, gallium oxide (Ga2O3) has been robbed of the limelight by silicon carbide (SiC) and gallium nitride (GaN), and will now usher in an explosion
Chinese leading valve manufacturer won a USD 25 million valve order from MODEC Hay:U05SZNW
Chinese leading manufacturer of flexible optical conductive material: ITO conductive film, optical hardened film Hay:F04JSRJ
Chinese manufacturers of high-brightness LED epitaxial wafers and LED chips invest in the construction of Mini/Micro LED chip projects Hay:I06SZJC
Chinese leading manufacturer of silicone feel coating for Apple iPhone 12 series Hay:S07HNSJ
China’s leading manufacturer of high-performance carbon fiber composite aerospace structural parts successfully passed the on-site audit of Airbus supplier qualification Hay:D02CZCO
China’s leading infrared cut filter and biometric filter manufacturer invests in the construction of a low-angle shift narrowband filter project for 3D imaging Hay:F06ZJSJ
NXP launches 6-inch RF GaN wafer fab to promote next-generation 5G base station infrastructure
The global leading manufacturer and supplier of self-locking locknut for SNCF, ALSTOM, SIEMENS, BOMBARDIER Hay:U07XALF
China’s leading manufacturer of Low Temperature Poly-silico glass substrates successfully mass-produced foldable flexible Ultra Thin Glass (UTG) Hay:B04HBDX
Lucintel: The global high-temperature composite resin market will reach US$1 billion in 2025
ePTFE breathable membrane supplier of Valeo & HELLA Hay:F07JSFY
China’s carbon fiber composite technology is developing rapidly, and Chinese enterprise products are gradually replacing high-end imports
China’s leading bearing technology company has developed high-end bearings for 350 kilometers/h high-speed train
JBD announces mass production of 0.13-inch Micro LED micro-display
China’s leading manufacturer of High purity alumina, boehmite, aluminum hydroxide, high purity silica for electronic communications has successfully IPO and has entered the supply chain of Samsung and Huawei Hay:B06AHYS
China successfully developed Rotating Electrodeposition and Separation: REDS synthesis device, which can synthesize metal-based nanomaterials on a large scale
Chinese researchers use “micro-nano laminated powder metallurgy” technology to composite nano aluminum powder, carbon nanotubes and graphene into a carbon aluminum alloy material
NASA announces investment of US$370 million to focus on the development of ultra-low temperature liquid fuel storage technology
The US LSG’s patent was invalidated, and Nichia, Osram, and Lumileds won
Honeywell conducts multi-dimensional testing of 3D printed nickel-based superalloy materials
Chinese leading aluminum alloy precision parts manufacturer and supplier of tablets, smart phones, laptops for Apple, Samsung, Huawei Hay:T02SCFR
China’s leading manufacturer of ultra-thin mirror precision cold-rolled stainless steel strip expands its production line Hay:A02ZJYJ
Traditional auto parts companies have carried out incremental changes
Benz, BMW` precision forging parts supplier and manufacturer in China Build a new high-end gear precision forging production base Hay:T03JSTP
China’s high-end PVD coated target manufacturer builds a new production base for molybdenum, Copper target, aluminum scandium target and silicon targets Hay:A01FJAS
Evonik releases VESTAKEEP® Fusion, a new-generation polyetheretherketone biomaterial used in the medical field, to help bones heal faster
Chinese leading manufacturer of selenium,tellurium,gallium, indium, germanium, bismuth and cadmium Hay:A06GDXD
Chinese leading manufacturer of precision transmissions:harmonic reducers, mechatronic actuators and precision parts Start smart factory demonstration lines Hay:U02SZLD
The precision magnesium-lithium alloy structural parts developed by China’s light alloy materials technology enterprises are used for the first time in high-orbit satellites Hay:A03XASF
Scientists use “fatigue failure method” to extend the fatigue life of aluminum alloy by 25 times
Chinese leading manufacturer of Antiseptic material,Electric water heater anticorrosive anode,magnesium-aluminium alloy, magnesium-rare earth alloy, high-strength aluminum, aluminum matrix composites Hay:A03SDDY
MELD Manufacturing Corporation’s solid metal 3D printing deposition technology without melting
Cygnet Texkimp launches high-volume production line for thermoplastic composite prepreg tape
ROHM PLEXIGLAS® plexiglass helps Triton Submarines to build a large panoramic underwater sightseeing submersible
Bearings for wind power generation equipment such as yaw and pitch bearings developed by China’s leading precision bearing manufacturers have won the international high-end market Hay:U03LNWF
Chinese leading manufacturer of vinyl ester for anti-corrosion tank and pipe,desulfurization tank, and chimney for power plants,epoxy resin and hardener for wind turbine blade Hay:D02SHSW
POSCO launched the world’s first graphite free-cutting steel “PosGRAM”
Several Mini/Micro LED leading manufacturers in China invested 3.5 billion in Mini/Micro LED projects totally
China’s carbon/carbon and carbon/silicon carbide composite material manufacturer has made important breakthroughs in the purification technology of high-purity carbon powder for pure silicon carbide powder Hay:B06HNDL
Carbon nanotubes are deposited on a flat copper substrate to form a metal matrix composite material that can be used in ultra-efficient electric vehicle enginesthe mechanical performance by 20%
Structure and mechanical properties of nanostructured metal materials
Chinese researchers have made new progress in Ni3Al-based superalloy transient liquid phase diffusion welding technology
Apple, Huawei supplier, China’s leading manufacturer of EMI absorbing and shielding materials, amorphous and nanocrystalline soft magnetic alloy materials to build a new production base Hay:F05SHLP
Sharp plans to divest display business to focus on micro LED
Chinese TOP manufacturer of Para-aramid filament solution dyed filament and Para-aramid Staple Fiber,Para-aramid Shortcut Fiber,Para-aramid Pulp Hay:D01YTTH
Chinese researchers have made important breakthroughs in the field of porous carbon nanotube graphene composite membrane materials
Research on silicon-aluminum composite film anode materials for lithium ion batteries
China University of Geosciences and basalt fiber new material research institute jointly develop basalt fiber reinforced green mineral composite materials
Evonik releases a new generation of Polyetheretherketone (PEEK) biomaterials used in the medical field to help bones heal faster
Application of continuous fiber reinforced thermoplastic composite materials in consumer electronics such as laptops and mobile phones
Chinese leading manufacturer of hydraulic cylinders for dump trucks, mechanical equipment, oil-gas springs for heavy-duty vehicles Successful IPO Hay:R08SDWT
China vigorously develops high-end products such as rare earth antibacterial glaze ceramics, magnetostrictive actuators, and magnetostrictive materials
Global leading manufacturer of Precision Fabricated Quartzware:Quartz tubes,quartz boats,Quartz rings, disks,Quartz fixtures Hay:B04HZDH
Apple and Huawei`s optical lens manufacturer provide high-precision optical products for the AMAT high-refractive-index glass wafer project Hay:F06ZJLT
Chinese leading Tin bronze alloy supplier and manufacturer Hay:A03ZZQY
China leading Super Stainless Steel (S30432/Super304H) Seamless Pipe Manufacturer Successfully Developed UNS NO8825 Nickel Base Alloy Seamless Pipe Hay:A02CZSD
Chinese leading Aluminum alloy welding wire supplier Hay:A03ZZQY
Chinese leading beryllium sheet and niobium strip manufacturer and supplier Hay:A03ZGYS
Chinese leading biodegradable medical magnesium alloy supplier and manufacturer Hay:H06DGYA
Second to Futamura Cellophane Film,Chinese top Food packing cellophane film manufacturer and supplier Hay:F08SDHL
Chinese leading Precision molybdenum metal and alloy parts manufacturer and supplier Hay:A01JDMY
China Top Large Size Seamless Ring Rolling Wind Turbine Forgings Manufacturer and Supplier Hay:T03SDYL
China leading amorphous alloy strip,amorphous iron cores manufacturer and supplier Hay:F05QDYL
China leading C/C and C/SiC composite materials manufacturer and supplier Hay:D05HNDZ
China leading copper/molybdenum/copper sandwich structural composite parts manufacturer and supplier Hay:D04JSDQ
China leading superalloy/High-temperature alloys manufacturer and supplier Hay:A02SHBG
China top aluminum-matrix composite materials: aluminum steel clad composite materials manufacturer and supplier Hay:D04JSYB
China leading Titanium matrix composites,Tantalum/zirconium / titanium composites manufacturer and supplier Hay:D04NJBT
China leading High Precision Copper Frame Materials manufacturer and supplier Hay:A03AHXK
Chinese leading manufacturer and supplier of high-purity stainless steel components for Hygienic fluid pipeline system Hay:U07KSXL
China’s leading carbon/carbon thermal field composites manufacturer builds a new ablation resistant structure production line Hay:F03SXZT
China is the main exporter of tantalum and niobium metallurgical products
China’s graphene technology companies have made breakthroughs in battery technology applications
Swedish researchers have developed BioCer implants: 3D printed bioceramic materials
HPQ Silicon Resources inc. won the first order for spherical nano-silicon materials from major automaker
Polymerization-induced self-assembly to prepare functional nucleic acid polymer nanomaterials
Chinese leading manufacturer of Hollow Glass Microspheres, Hollow glass spheres,Cenosphere Hay:B04HNSL
China’s leading manufacturer of ultra-high-purity metal sputtering targets builds a new ultra-high-purity tantalum metal production line Hay:A01ZJYN
Surface synthesis of graphene nanoribbons will promote the development of quantum devices
Nidec plans to occupy 40% market share of electric vehicle motors by 2030
China’s amorphous alloy material leader promotes R&D and industrialization of high-entropy alloys Hay:A03DGYA
Chinese researchers successfully prepared high-quality sapphire fiber grating high temperature sensor
Application of Liquid Metal in Medical Field: Liquid Metal “Exoskeleton” Hay:H06YNYT
Chinese leading manufacturer of photochromic film,Smart Optical-Controlled Window Film for automobile and architectural glass Hay:H05GDWS
Researchers have created a copper-tin film that significantly reduces the degradation rate of batteries
Chinese researchers have developed flexible phase change energy storage material film
Frames:Continuous fiber-reinforced thermoplastic composites may be the key to complex aircraft appearance
Eaton announced a new plan for metal 3D printing, introducing an indirect metal 3D printing system
The accuracy is up to 30 nm! Patterning of MOF: metal-organic frameworks materials can be achieved without photoresist
Emerson launched a new hot melt technology Branson GPX platform, which can meet the needs of various complex precision plastic parts
Korea KRICT uses nickel to develop current collectors and successfully develops battery materials for 3D printing
China’s leading fuel cell proton exchange membrane manufacturer, the only perfluorinated ion exchange resin and perfluorosulfonic acid proton membrane technology pioneer successfully IPO Hay:N02SDDY
Chinese and American researchers use graphene to wrap boron carbide (B4C) nanowires (B4C-NWs@graphene) to achieve high strength and toughness
The most advanced Mini/Micro LED production base in China was put into production Hay:I06JSLJ
High-purity ultra-fine AlN powder manufacturing process and international leading manufacturers
Global 6th generation flexible AMOLED module leader purchases OLED Demura inspection system equipment from Dong A Eltek, South Korea Hay:I06BJWX
Global leading manufacturer of precision aluminum alloys forging parts: control arms, tie rods by using the advanced precision die-forging techniques Hay:T03DEOF
Vestas acquires 50% of Mitsubishi Vestas shares
Chinese manufacturer of Electrical Steel developed ultra-thin Cold Rolled Grain Oriented (CRGO) Silicon Steel Hay:F05JXZY
The 110kV composite smooth aluminum sheathed cable technology newly developed by Chinese special cable technology company is at the international leading level Hay:F04ZJCG
China’s leading manufacturer of high-purity alumina launches ultra-fine aluminum hydroxide powder for flame retardant cables Hay:B01ZLSD
Toray and Astar sign the exclusive agency agreement for British carbon fiber SMC
The industry’s first ultra-thin periscope continuous zoom module developed by China’s leading precision micro camera module manufacturer is officially launched Hay:F06SZOF
The largest Lyocell fiber production base in China was put into operation Hay:D01ZGTY
Chinese researchers fabricated CdPS3 nanosheet films with high performance and high proton conductivity
Chinese top manufacturer of human-interface and biometric authentication,Fingerprint Sensors,Touch Controllers Hay:I06SZHD
Chinese sealing material technology companies broke the US technology monopoly and successfully developed C-shaped sealing rings for Chinese nuclear power plants Hay:U07NBTS
The iPhone 12 millimeter wave antenna does not use the Qualcomm kit, but uses the product of the Chinese USI
Spirit AeroSystems completes acquisition of Bombardier’s aviation structural parts business
Chinese photovoltaic inverter manufacturers lay out overseas markets
China’s leading Schottky chip, MOS chip and gallium arsenide RF chip manufacturer continues to grow business Hay:I06HZLA
Optical technology giant Carl Zeiss has created many world firsts
A Chinese manufacturer of high-alloy forged parts provides high-strength forged round steel for China’s largest tonnage XCMG wind electric boom tower crane Hay:T03HBDY
General Motors will use Visteon wireless BMS to help extend the range of vehicles
China’s leading manufacturer of micro-nano optical structure and precision button membrane switch Hay:F06SZHC
Dutch lithography machine giant ASML unveiled at the 2020 CIIE
Firefly Aerospace will use an automatic fiber placement system to significantly shorten the production cycle of composite rocket fuselages
China’s magnesium alloy, aluminum alloy semi-solid injection molding technology leader, precision magnesium alloy, aluminum alloy parts manufacturer Hay:T02TWHF
Participating in CIIE for three consecutive years, Dow will showcase 102 innovative material science solutions
US sports wearable device company WHOOP raises US$100 million in Series E financing with a valuation of US$1.2 billion
China Ceramic Coating Technology Enterprise established a joint venture for metal surface ceramicization technology Hay:M02SHJD
China’s leading manufacturer of RF metal components and RF metal precision structural parts Hay:T01SZXT
DuPont increases investment to enhance the strategic capabilities of its new medical material silicone brand Liveo™
China’s composite material manufacturing and application level has developed significantly
Chinese carbon nanotubes, carbon nanotube films, and carbon nanotube fiber technology company receive 200 million yuan investment Hay:H02SZXW
Arkema invests in Adaptive3D, an American high-quality polymer resin start-up focused on additive manufacturing research and development
After acquiring Apple`s iPad lens business, China`s ultra-precision optical component manufacturer actively strives for the iPhone lens business Hay:F06ZJSY
China’s ECMO market is monopolized by: Medtronic, MAQUET, Sorin, Terumo, Fresenius
In the 5G era, LCP/MPI soft boards will become mainstream new solutions, and the demand for BTB RF connectors will increase
GE brings advanced technologies and solutions in the fields of aviation, energy, medical and industrial digitalization to the 2020 CIIE
The first three quarters of China’s precision aluminum alloy die casting manufacturer’s revenue exceeded expectations Hay:T02GDHY
Graphene 3D printing custom complex components
China’s high-performance carbon nanotube + graphene + aluminum alloy composite material will soon realizes commercial production Hay:A03SHXT
Siemens Gamesa launches wind energy hydrogen project in Denmark
Honeywell will release innovative technologies and products in areas such as safety assurance and energy efficiency at CIIE 2020
Supplier of GE,Siemens,Gamesa,Kawasaki, China’s leading manufacturer of Large-scale wind turbine casting parts, diesel engine body casting parts and gas turbine casting parts Hay:T02WXTQ
China’s leading manufacturer of wind power generator rotor rooms, cabin covers, and wind power generator stators has achieved strategic cooperation with Siemens Gamesa Hay:T04JSZJ
Solvay and Boeing renewed a long-term supply agreement, including thermosetting composite materials, thermoplastic composite materials, adhesives, surface films, etc.
China’s aluminum-lithium alloy material technology enterprise provides aluminum-lithium alloy spinning parts for aerospace engineering Hay:A03HJYJ
Samsung Electronics invests in KC Tech, a manufacturer of chemical processing and polishing (CMP) equipment materials
Mazak presents the INTEGREX series compound processing machine and high-precision vertical 5-axis machining center at the “CIIE”
Siemens cooperates with EOS and DyeMansion to build the first SLS laser sintering virtual factory
Continental Expands LiDAR Technology Portfolio by Investing in Robotic Vision and LiDAR pioneer AEye
China’s leading RF MEMS resonator and filter technology company won the
China’s leading manufacturer of high temperature, high pressure, and ultra-low temperature valves was named “Gazelle Enterprise” Hay:U05SHSH
TRUMPF establishes its second laser application center in China
China’s leading flexible tactile sensor, millimeter-level ionized sensor chip manufacturer receives 100 million yuan investment
Fabrication of bulk Al-Co-Cr-Fe-Ni high-entropy alloy using combinedcable wire arc additive manufacturing (CCW-AAM): Microstructure and mechanicalproperties
China’s high-aluminum electronic photovoltaic glass + neutral borosilicate medicinal glass invisible champion enterprise Hay:B04CZQB
Chinese BAW filter technology companies break the technology monopoly of Avago and Qorvo Hay:I06SZHT
China’s leading proton exchange membrane fuel cell membrane electrode/MEA, Catalyst-Coated Membrane (CCM) manufacturer Hay:N02GZHJ
As a result of centralized procurement of Platinum chromium alloy, cobalt chromium alloy coronary stents in China, four major factors have led to a price reduction of more than 90%
Sony`s PlayStation 5 uses liquid metal thermal material for chip
ABB’s world’s largest robot “Factory of the Future” will be put into use at the earliest by the end of next year
SoftBank intends to sell Boston Dynamics to Hyundai Motor
Sony launches Airpeak project: Promoting innovation and development of drone imaging industry
Chinese leading photo-mask substrate manufacturer manufacturer successfully developed quartz photomask film substrate Hay:I03CSSG
Chinese scientist create the first space materials laboratory
US Whitewater Technology company launches high-performance, recyclable carbon fiber paddle board series
China’s leading Ultra-clear glass manufacturer successfully developed anti-reflective glass Hay:B04SDJJ
Chinese leading power management chips Technology enterprise Hay:I06WXLX
The world’s first blockchain ASIC chip technology company achieves mass production of the world’s first commercial edge AI chip based on RISC-V architecture Hay:I06ZJJN
China’s leading FPC and rigid-flex combination, HDI PCB manufacturer successfully IPO Hay:I04JSXH
Cornell University develops new 3D printing technology to jet titanium particles at supersonic speed
Evonik`s new Anion Exchange Membrane (AEM) technology is expected to reduce the cost of electrolyzing water
The transformation of China’s optoelectronics precision components and precision structure technology giant from producing microphones to developing high-end VR headset products Hay:F02SDGE
China’s only manufacturer of complex precision casting filled wax for aerospace precision casting Hay:T02SDXN
Apple’s sensor Packaging Ceramics Substrate supplier, Chinese leading manufacturer of core optical components in artificial intelligence, big data field and optical electronics components Successful IPO Hay:I04HZMD
Romaco unveiled Macofar MicroRobot 50 microdosing machine at CIPM
China’s leading PECVD technology company of nano-coating to improve the reliability of electronic devices received 5 hundreds of millions of yuan in investment Hay:M02JSFW
China’s leading cardiovascular implantable device and transcatheter tricuspid valve repair system technology company received financing Hay:P02SHHH
Nexa3D and Henkel jointly launch two new 3D printing resins XPRO410 and XCAST
Chinese leading manufacturer of HDI interconnect PCB, rigid multi-layer boards (to 40 layers) and oversized backplanes Hay:I04GDFZ
Global leading manufacturer of High purity copper,aluminum,titanium evaporation material Hay:A01JPUL
Evonik launches a versatile bio-based TEGO® Foamex 18 defoamer for architectural coatings
China’s fifth ultra-thin Endless steel Strip Production (ESP) production line put into production Hay:A02RZGT
China’s ultra-high-purity metal, high-purity sputtering target technology group focuses on semiconductor materials Hay:A01BJYY
The supplier of KUKA, Kawasaki, ABB, GRENZEBACH, Alfa Laval, Chinese leading robotic precision parts, precision hydraulic parts manufacturer Hay:T09JSHT
China’s leading deep-ultraviolet LED chip manufacturer Hay:I06SXZK
China’s leading large-scale die forgings manufacturer successfully developed Y-connected Large titanium alloy die forgings for the rear fuselage of C919 commercial aircraft Hay:T03ZGEZ
The second production line of China’s leading pharmaceutical neutral borosilicate glass tube manufacturer was put into operation Hay:F08AHKS
Turkey TAI and Boeing sign an agreement for the production of aircraft thermoplastic composite parts
China’s leading manufacturer of continuous flexible composite pipes for oil and gas transmission containing H2S and CO2 Hay:D02CCGX
US Micro LED solution provider Compound Photonics (CP) announced the opening of its Micro LED manufacturing plant MiAC
China’s leading aluminum-lithium alloy manufacturer provides aluminum alloy reflective panels for China FAST project Hay:A03ZLXN
MediaTek acquires Intel’s Enpirion power management chip business
AGM battery separators supplier Hay:F07CQZS
Chinese manufacturer of high magnetic induction oriented silicon steel and refrigerator compressor manufacturer jointly develop non-oriented silicon steel and hot-rolled pickling plate technology Hay:F05SHBG
Compound Photonics Micro LED factory opened
The high-power 630KW permanent magnet drum newly developed by a Chinese manufacturer unveiled at CICCE2020 Hay:Y05JSJX
China’s leading optical fingerprint chip technology company develops a variety of memory chips Hay:I06BJZY
SGL Carbon and Koller Kunststofftechnik produce carbon fiber composite windshields for the BMW Group
The first foamed ceramics R&D and manufacturing demonstration base built by the manufacturer of energy-saving foamed wall ceramic tile officially opened Hay:K06NMJN
Chinese leading manufacturer of High-speed steel flat wire, titanium welding wire, titanium alloy wire for nuts Hay:A02JSWJ
HID Global, a leader in global trusted identity solutions, unveiled inkjet printer INK1000
Pierburg, a subsidiary of Rheinmetall, an expert in the manufacture of highly complex aluminum castings, received an order worth over 100 million euros
Walmart, KFC,Starbucks` biodegradable plastic packaging materials: PBST, PBAT, PBSA and PBS Chinese suppliers Hay:C03SXJH
Chinese leading manufacturer of Ultra-large honeycomb ceramic material for motor vehicle exhaust gas purification Hay:B03SDAF
Lipid nanoparticles are one of the key materials for the manufacture of mRNA vaccines, and Polymun is one of the few lipid nanoparticle manufacturers in the world
China’s leading manufacturer of carbon fiber and glass fiber woven products for wind turbine blades, aerospace Hay:D02ZJHS
German honeycomb core manufacturer ThermHex Waben GmbH launches new honeycomb core
China Special Steel Technology Corporation successfully developed Corrosion resistant stainless steel Clad Steel rebar/Bimetal composite rebar Hay:D04BWSG
China top manufacturer of deformed superalloy, powder superalloy precision structural parts Hay:A04BJGY
Sino-Japanese semiconductor technology companies invest in R&D and production line projects of silicon carbide single crystal substrates in China Hay:I01AHFE
Copper-nickel-tin alloy and Cu-Zn-Mn-Al-Si wear-resistant copper alloy Hay:A03NBBW
DSM Advanced Solar launches Endurance backsheet D15
Dispelix cooperates with glass wafer maker Hoya to produce high-quality AR optical waveguide displays
Sculpteo and BASF announce the launch of four bio-based PA11 materials for 3D printing
THK,Nidec and Yaskawa`s supplier of precision linear guide sliders, high-speed train shock absorber main parts and servo motor spindles Hay:T02SDFG
A variety of thermal barrier materials developed by Chinese technology companies have been successfully applied to the “Chang’e-5” lunar probe Hay:F03GSYS
3M solar encapsulation films strategic partner, Chinese leading manufacturer of EVA and POE solar encapsulation films Hay:C04ZJXB
Carbon fiber composite manufacturer Quickstep acquires Boeing Defence Australia
Global leading disruptive Electrochromic thin-film technology leader Hay:H05SZGY
China’s classⅢ, HTE, RTF, VLP ultra-thin electrolytic copper foil manufacturer expands production capacity Hay:A03GDCH
China’s leading titanium alloy technology company provides titanium alloy Ti62A submersible manned cabin for China’s deep-sea manned probe
The glass fiber reinforced polyurethane pultruded profile developed by a Chinese door and window material technology company won the award Hay:D02SHJR
The era of comprehensive breakthroughs in China’s high-end advanced materials core technology is coming
Valeo, Bosch, Denso’s generator stator core supplier Hay:T01ZJCY
Chinese leading supplier of wireless connection SOC chip, RF receiver and transmitter provides products to DJI drones Hay:I06SHBT
Chinese leading manufacturer of Artificial graphite film,Micro heat pipe,Thermal management module,Microchannel aluminum extruded tube,PCM-phase change film material Hay:F03SZLS
Chinese leading RF chip manufacturer invests in RF SAW filter chip and RF module R&D and production base Hay:I06JSZS
China Silicon Carbide Materials and Silicon Carbide Device Technology Company builds new R&D and production base for silicon carbide substrates Hay:I01ZJLX
Chinese leading manufacturer of PLA and biodegradable shopping bags, packaging bags, straws Hay:C03JLZL
China’s leading OLED metal mask manufacturer successfully realized the commercial production of TFE CVD metal mask Hay:I03TWQY
China Special Alloy Pipe Technology Company provides ASTM A335 Grade P92 Alloy Steel Seamless Pipes for ultra-supercritical generator sets Hay:A02SYBF
Murata Manufacturing Co., Ltd. mass-produces the world’s smallest auto parts: MLCC and the world’s smallest ferrite beads
China’s leading medical protective clothing manufacturer donates multiple times-use one-piece medical protective clothing to the United States and Germany Hay:P05XMDS
UK CFP Composites launches low-cost 5mm thick carbon fiber laminate BM-5
AMS AG launched the world’s most accurate digital temperature sensor AS6221
China Silicon Carbide (SiC) and Gallium Nitride (GaN)) Power Device Technology Company Builds a Mass Production Platform for Silicon Carbide MOSFET Hay:I06XMSA
As to the global leading laser technology companies, Germany has TRUMPF, Lithuania has: EKSPLA, LIGHT CONVERSION, STANDA LTD…
The liquid zoom lens developed by China’s high-end optical lens technology company has been successfully applied to machine vision products Hay:F06NBYX
China’s ultra-pure superalloy material project completed and put into production Hay:A04JSTN
China’s leading manufacturer of optical lens molds and micron lens barrel molds Hay:T10HLSX
Chinese leading manufacturer of Ultra High Pressure Butterfly Valves and Ball Valves, Cryogenic Valves, Ultra High Temperature Valve Hay:U05LZGY
China’s leading biodegradable material PLA and Lactide (PLA monomer) manufacturer is trying to catch up with Corbion-Purac NatureWorks Hay:C03HNJD
Chinese leading manufacturer of Non-asbestos gasket plate and reinforced graphite gasket plate,Heat Insulation shield Hay:U07SDSC
Global leading supplier of minimally invasive interventional medical devices to treat cardiovascular diseases Hay:P02SZXJ
The strength of 3D printing aluminum-matrix materials
China’s leading CIM and MIM precision parts company builds a new MIM precision parts production line for consumer electronics Hay:T05CZJY
Timken acquires Aurora Bearing Company
Chinese manufacturer of micron nickel powder for MLCC and micron copper powder successfully IPO Hay:A05JSBQ
MiniLED technology developed by Apple and Epistar may enter mass production
HDI supplier of Apple, Bosch, Continental, Harman, China automotive grade customized PCB Leading manufacturer Hay:I04GDCS
Sany’s supplier of high-pressure hydraulic plunger pumps and hydraulic plunger motors Hay:R08HZLL
Chinese medical N95 mask manufacturer has developed anti-coronavirus masks based on copper oxide non-woven fabric Hay:P05AHHZ
Chinese leading manufacturer of Drive Axles for Heavy Duty Trucks and Trailer Hay:Q02GDFH
China’s Aluminum honeycomb sheet lightweight material technology invisible champion enterprise Hay:D02GZHL
Global leading endoscope manufacturer Karl Storz China headquarters building opens in Shanghai
Sika launches PURFORM® polyurethane technology
Bosch, Continental’s supplier of precision micro-shafts and automotive precision parts successfully IPO Hay:T09DLMD
Chinese leading manufacturer of shading materials and products:Awnings Fabric,sun screen,blackout roller,Roller Blinds & Window Shades,honeycomb blinds Hay:D01ZJXD
China Die Forging Technology Corp.successfully developed die forging complex special-shaped structural parts and replace forged rings for offshore pile drivers Hay:T03ZXZG
China’s leading manufacturer of cadmium telluride power glass Hay:B04CDZC
China Bionic Silk Technology Company successfully developed the world’s first genetically modified
China Hinge and Hinge Company successfully developed intelligent Positioning buffer multifunctional hinges Hay:U07SDMY
Chinese leading manufacturer of PCM Color-Coated Sheet,Galvanizing Sheet and VCM Laminated Board Hay:A02SZHM
China`s first high-performance mesophase pitch-based carbon fiber production line was officially put into operation Hay:D01SDYH
The application of magnesium alloy automotive structural parts is expected to transition from small parts to large parts
New progress in carbon nanotransistor deposition of high-K dielectrics! TSMC and Stanford University develop new gate dielectric processes
China’s leading 6-inch silicon carbide chip, silicon carbide MOSFET production line put into production Hay:I06BJTR
China-made polyurethane artificial blood vessel successfully implanted into human body for the first time Hay:P02WHYS
Chinese leading Petrochemical & Specialty Industrial Valves manufacturer Hay:U05ZJLX
Graphene Aerogel
The global leading manufacturer of Ultra-soft tin-plated ribbon and ultra-soft alloy ribbon for PV module cell Hay:F01SZYB
Nidec China’s automotive motor production base completed
Liquid Crystal Polymer/LCP is the most promising material in the 5G era
China’s largest vanadium-nitrogen alloy manufacturer and exporter Hay:A04JSYX
China’s high-strength automotive fasteners hidden champion enterprise Hay:Q05ZJHY
Chinese leading Carbon fiber medical CT bed board supplier and manufacturer Hay:D02JSBS
French 3D GaN LED technology developer Aledia announced the successful growth of the first nanowire Micro LED chip on a 12-inch silicon wafer
Hexcel and Safran expand composite contract for commercial aerospace project
Exclusive supplier of high-temperature mica insulation materials for Volkswagen and Tesla Hay:F04ZJRT
Carbon Fibre Reinforced Polymer: CFRP as the body structure of electric vehicles
China’s leading manufacturer of aramid III fiber composites [performance similar to Russian Armos aramid fiber] Hay:D01HTKG
China Mining Machinery Technology Corporation exports mineral ball mills and copper smelting equipment to Europe Hay:Y05ZXZG
PlayNitride plans to build a second Micro LED production line
Cast nickel-based superalloy K438/IN738 for industrial gas turbine turbine working blades
Electroimpact develops 3D printing continuous carbon fiber composite technology
Siemens-Gamesa’s supplier of high-performance NdFeB permanent magnet materials and magnets grow rapidly Hay:A06JXJL
China’s leading manufacturer of high temperature and pressure valves, wear-resistant and erosion-resistant regulating valves Hay:U05SHSG
China’s leading manufacturer of Titanium alloy complex shape precision forging and titanium alloy clad composite materials Hay:A04SXXL
Ineos Styrolution’s new ABS plant in China starts construction
Chinese leading carbonyl nickel powder and carbonyl iron powder manufacturer’s newly built carbonyl iron powder production line was put into operation Hay:A05JLZC
China’s largest cemented carbide, ammonium paratungstate (APT), tungsten oxide and ammonium metatungstate (AMT) manufacturer Hay:A04HNZW
China’s electronic grade high-purity polysilicon technology achieves a breakthrough Hay:I01GSHH
The UV silicone feel coating developed by Valeo’s automotive lamp anti-fog resin and coating supplier passed Tesla’s verification Hay:S07HNSJ
World famous supplier of rhenium alloy parts, Tungsten rhenium wire, ultra-pure tungsten target, tungsten and molybdenum products Hay:A01HNZL
China’s leading manufacturer of precision aluminum and magnesium alloy die-casting molds Hay:T10NBJL
Global leading turbo compressors manufacturer: IhI-Sullair builds R&D center in China
Global leading Medium and high voltage tungsten copper contact material manufacturer Hay:D04XASR
Chinese leading Alnico magnet and Neodymium magnet manufacturer Hay:F05NBKK
Chinese rival to Nvidia on artificial intelligence chips for smart driving, robots and smart retailing Hay:I06BJDP
China’s leading provider of customized display solutions will deliver 40 million AMOLED panels in 2021 Hay:I06SZTM
China’s leading PBAT biodegradable plastic manufacturer’s new PBS biodegradable polyester material production line was put into operation Hay:C03SDHL
China’s leading manufacturer of precision medical equipment sheet metal parts Hay:T04SZBX
China’s leading manufacturer of high-precision titanium alloy rods and wires Hay:A04SXAG
China’s leading manufacturer of precision stamping parts and molds for car seat systems and steering systems Hay:T01JSBJ
Chinese leading PETG heat-shrinkable film supplier of Coca-Cola and Danone Hay:F08HNYJ
NawaStitch’s new process is expected to make carbon fiber composites lighter and stronger
Carbitex carbon fiber/thermoplastic composite material achieves high-performance sports shoes
China’s leading PCB manufacturer plans to acquire Sumitomo Electric’s FPC business Hay:I04GDJY
The LNG cryogenic ball valve developed by a Chinese manufacturer of high temperature, high pressure and ultra-low temperature valves passed the acceptance Hay:U05JSYF
Chinese leading manufacturer of Precious metal alloy welding piece,preforming soldering lug and welding wire for electronic packaging Hay:I04FJSS
China High Performance Carbon Fiber Technology Company Awarded Hay:D01ZFSY
China’s high-speed, heavy-duty and ultra-precision slewing bearing, slewing support invisible champion enterprise Hay:U03HNLY
China’s leading graphene conductive agent manufacturer for lithium ion batteries Hay:F04SDHX
HARMAN and SONY smart audio SoC chip supplier Hay:I06SZJX
The world’s largest manufacturer of electromagnetic clutches for automotive air conditioners won the award for its metal net forming technology Hay:Q03AHHF
China’s first G8.5 LCD substrate glass production line achieves commercial production Hay:B04HFCH
Chromatic 3D Materials’ FlexTune™polyurethane material for 3D printing
Chinese manufacturer of low-temperature resistant hot-rolled H-beams developed new heavy-duty H-beams Hay:A02MGJT
China’s TC4 titanium alloy profile manufacturer successfully developed TC4 titanium alloy large single-weight round wire Hay:A04SXBT
Chinese Solar Mirror Glass production line for Concentrating Solar Power (CSP) put into production Hay:B04PYZJ
Chinese leading Diode Laser Chip,VCSEL Lidar Chip and Optical Communication Chip manufacturer Hay:I06SZCG
China’s leading manufacturer of super large diameter and heavy-duty bearing for terminal crane bearings and marine crane bearings Hay:U03HNLY
China’s leading high-performance carbon fiber composite material technology company builds a new production base Hay:D05HZCT
Exclusive supplier of high-voltage flexible busbars and Laminated Busbar for Tesla Model 3 and Model Y Hay:F04SZKL
High-end new composite armor with one or more layers of graphene bulletproof fabric sandwiched between boron carbide high-strength bulletproof sheets
CATL and BYD Lithium battery cell precision cutting mold supplier Hay:T10GDZH
Israeli 3D printing company Nano Dimension will make a major acquisition
China Nickel Plated Carbon Fiber and Metallized Carbon Fiber Leading Manufacturer Hay:D02SZFR
China’s titanium aluminum alloy low-pressure turbine blades have passed the vibration fatigue assessment Hay:A04ZKYJ
China’s leading molybdenum-rhenium ultra-high temperature alloy parts and rhenium single crystal turbine blade manufacturer Hay:T03SXLS
China’s AlTiB, AlZr, AlTiC, AlTi and AlEr master alloy manufacturer builds new alloy grain refiner production line Hay:A03HBST
China leading Heat Pipe & Heat Exchanger and Flue gas residual heat system manufacturer Hay:F03NJZS
China Flexible Printed Circuits/FPC/Flexible PCB Hidden Champion Enterprise Hay:I04XMAP
China’s ultra-high-strength steel, wear-resistant steel and medium-to-high carbon steel supplier awarded Hay:A02HNHL
China’s leading ion implanter manufacturer Hay:V20SHKS
Toray carbon fiber composite materials are successfully used in the reinforcement and repair of marine environmental platforms
Apple,Huawei and Samsung flexible copper clad laminate/FCCL and conductive adhesive suppliers Hay:F04SDJD
Momentive acquires the silicone business of KCC Group in South Korea
Arris Composites’ AdditiveMoulding™ carbon fiber truss won the “Red Dot” 2020 Design Concept Award.
China’s ion exchange, adsorption and separation resin invisible champion enterprise Hay:C11XALX
The antibacterial and antiviral masks were developed by Chinese medical/KN95 mask manufacturer with Coppware composite materials Hay:P05HNSD
LANXESS extends the application of TePEx continuous fiber-reinforced thermoplastic composites to extrusion blow molding
Chinese manufacturer of high-melt and impact-resistant polypropylene successfully developed heat-resistant polypropylene for home appliances Hay:C02LZSH
China’s 10kV three-coaxial high-temperature AC superconducting cable research and development made an important breakthrough
China leading tungsten electrodes and tungsten molybdenum special-shaped parts supplier Hay:A04SDHY
The only supplier of high-temperature alloy ring forgings for commercial engine casings in the Asia-Pacific region for GE Aviation Hay:T03GZHY
China’s leading collaborative robotics technology company has received a total of more than 500 million RMB in investment Hay:R10SHJK
China’s magnesium alloy die casting manufacturer becomes Volvo’s global supplier of magnesium alloy structural parts Hay:T02NJYH
China’s leading electronic grade silver powder manufacturer builds a new production base Hay:A01JSLY
China’s Graphene Hidden Champion Technology Enterprise Hay:H02BJMX
China’s leading supplier of wind power yaw pitch bearings and wind power main shaft bearings Hay:U03HNXQ
China’s largest aluminum sheet/strip manufacturer new large-size aluminum ingot production base puts into operation Hay:A03BWLY
China’s leading wind turbine yaw and pitch bearing manufacturer’s sales revenue increased significantly in 2020 Hay:U03DLWF
China’s leading manufacturer of gold and silver bonding wires and soldering materials for semiconductor packaging Hay:I04SDYN
China’s drug balloon dilatation catheter products obtained CE certification Hay:P02SHXM
Micro voice coil motor/VCM with rare earth neodymium iron boron permanent magnet Hay:U01BTJX
Chinese manufacturer of precision rhenium alloy part successfully developed ultra-thin rhenium foil Hay:A04HNZL
Each DreaM successfully developed the world’s first non-combustible composite and insulation material
China leading heavy-duty gear transmission gearbox manufacturer Hay:U02HZCL
New method to improve the performance of shape memory titanium nickel alloy
Chinese medical protective clothing manufacturer successfully developed a composite material for inactivating Coronavirus (COVID-19) Hay:P05NJJH
Global leader in ceramic fibers thermal insulation material Hay:F03USQN
China’s leading TPU and PA powder material manufacturer launches PP powder material for 3D printing Hay:H01YTWH
TrinamiX, a leader in 3D imaging and infrared sensing solutions, launches unique OLED display 3D imaging solutions
China’s leading titanium alloy manufacturer successfully developed titanium alloy bipolar plates for hydrogen fuel cells Hay:N01SXBT
China’s largest magnesium alloy die casting manufacturer adds 40 magnesium alloy precision machining production lines Hay:T02SDYG
China establishes the first rare earth nano thermal insulation paste production line Hay:F03CDYT
Avient Artisan™ ABS thermoplastic solution can create metallic effects for various electronic products
China top PVC, PMI and PET rigid foam & sandwich-structure core material supplier Hay:C02HBWS
China top silicon carbide wafer supplier and manufacturer Hay:I01BJTK
Global leading AlN Substrate,Sapphire-based AlN Templates and Silicon-based AlN Templates supplier Hay:I04HZAQ
Chinese anchor chain and mooring chain manufacturer released the world’s first R6 ultra-high strength R6 marine mooring chain Hay:U06JSYX
Apple’s permanent ferrite magnet and neodymium iron boron magnet supplier successfully IPO Hay:F05ZJZK
Chinese reducer manufacturer obtains patent for heat treatment of semi-solid aluminum-silicon alloy die castings Hay:U02NBZD
China leading PMI sandwich structural core foam panels manufacturer helps Chang’e 5 lunar exploration project Hay:C02ZJZK
Xerox and NPS collaborate on liquid metal 3D printing research
Chinese superconducting material technology company provides superconducting conductors for EAST project Hay:H07ZKHF
The Chinese manufacturer of UHMWPE and Aramid (PPTA) fiber rope provides mooring ropes for the Deep Sea One project Hay:C02ZJSX
Siemens,Vestas and GE’s large-scale ring forging manufacturers build a new large-scale wind turbine bearing production base Hay:U03JSHR
Chinese leading ceramic fiber manufacturer builds aluminum silicate ceramic fiber blanket production base Hay:B03SXAL
China’s leading microelectronic grade polyimide film/ PI film manufacturer builds a new production base Hay:C04AHGF
After 3M and Mitsubishi, the world’s third and China’s first high-performance alumina fiber manufacturer Hay:B03SDDH
Boeing and Airbus aluminum and titanium alloy forging suppliers Hay:T03SXHY
Evonik launches INFINAM® PEEK 9359 F (PEEK) wire for industrial-grade 3D printing
Boston Materials begins industrial production of Patented Z-axis Carbon Fiber Materials
Continuous Composites receives strategic investment from Saint-Gobain
NOVUM develops cellulose bio 3D printing materials
China’s carbon fiber prepreg products successfully passed DNV GL certification Hay:D02WHGW
The sole supplier of Toyota HEV strip nickel foam plate and punched nickel plated steel strip in China Hay:N01HNKL
DIC Corporation has developed 3D printing materials with anti-virus and anti-bacterial functions
U.S. Alpine company develops HX5 anti-ultraviolet thermoplastic nanocomposites
China leading supplier of High-silicon aluminum alloy and gradient high-silicon aluminum alloy materials Hay:A03HEZD
Teijin has developed a composite FRP battery box for EVs
Evonik Chemical launches silicon-carbon composite anode material to improve lithium-ion batteries
China’s large-size forgings manufacturer successfully developed full-die forging large-scale marine low-speed diesel engine crankshaft technology Hay:T03SHCQ
SKF, Schaeffler and NSK high-end bearing steel supplier Hay:A02ZXTG
BMW and Harley engine crankshaft supplier Hay:Q01SDDS
Hitachi metals[Global leading supplier of Invar alloy for FMM] sold to Bain capital
The Invisible Champion Enterprise in the Fields of Piezoelectric Quartz Wafers and Alnico Magnetic Materials Hay:B04NJFT
Alumina, silicon nitride, silicon carbide, zirconia and tungsten carbide precision ceramic parts manufacturer Hay:B03SZSD
Large aluminum alloy rolling frame ring profile, guide rail profile and multi-section profile manufacturer Hay:T06ZLXB
Chinese company builds high-modulus and high-strength glass fiber production line for wind turbine blades Hay:D01SDZC
Leading single-crystal lithium niobate thin films (TFLN/LNOI) and single-crystal lithium tantalate thin films (TFLT/LTOI) manufacturer Hay:B06JNJZ
SKIET[a subsidiary of SKI battery separators]plans to go public
Solvay launches Amodel® Supreme PPA for New E-Mobility Applications
Research status and progress of biomedical metal materials
Rare earth heat-resistant stainless steel developed Hay:A05SXTG
Eastman expands production capacity of Saflex polyvinyl butyral (PVB) interlayer film business
Total Luminy® PLA will start production in France in 2024
Victrex cooperated with Electroimpact to achieve a layup speed of 4000inch/min for thermoplastic unidirectional tape
Facebook’s OCA film supplier builds new PET optical film production base Hay:C04JSSD
KBR plans to use Cummins’ Proton exchange membrane electrolysis technology
Key Technology of Type 4 Vehicle-mounted high-pressure Hydrogen Storage Cylinder
Avient launches GLS™ thermoplastic elastomer with antibacterial technology to prevent microbial growth
South Korean company ITI successfully developed 20-inch curlable UTG
The global leading high precision [2μm printing resolution]micro 3D printers Hay:H01CQMF
Hexcel will build a new Center of Excellence for Advanced Composites in Utah
Chinese leading Direct bond copper (DBC) substrates and high thermal conductivity alumina ceramic substrate manufacturer expands production capacity Hay:I04CDWS
China ultra-wide and ultra-thin precision bright stainless steel strip manufacturer Hay:A02ZJYJ
DUNLEE, The global leading supplier of liquid metal bearing and 3D TUNGSTEN ANTI SCATTER GRIDS for imaging solutions
Covestro and Polymaker join hands to develop polycarbonate wires made from recycled plastic
Chinese leading Bimetal Band Saw Blade and Carbide Band Saw Blade manufacturer Hay:T10HNTJ
EconCore has developed a new type of honeycomb core material/laminated sandwich panels for EV and photovoltaic fields
Toray develops a new carbon fiber composite material to achieve metal-like thermal conductivity
China’s large tow carbon fiber and high-performance carbon fiber composite structural parts manufacturer builds a new production base Hay:D01CZXC
High-purity stainless steel pipeline invisible champion enterprise in China’s biopharmaceutical field Hay:A02KSXL
Boston Materials will promote the development of Z-axis carbon fiber materials
China’s semi-insulating silicon carbide (SiC) substrate material manufacturer builds a new production base Hay:I01SDTY
A Chinese company builds a new production base for sapphire mobile phone screens and LED precision substrates Hay:B06JXWJ
VW,Tesla,Bosch rare earth permanent magnet material supplier Hay:F05JXJL
BüFA Composite uses TUBALL graphite nanotube conductive gel coat to use powder coating on FRP
China aerogel manufacturer builds a new production base for silica nano aerogel composite materials Hay:F03ZHHL
Chinese magnesium oxide manufacturer builds ultra-high purity magnesium oxide production line Hay:B01BJLG
Chinese company successfully developed thermal insulation and cooling textile fabric “insulation yarn” Hay:D01ZJZC
Chinese manufacturer of titanium-molybdenum-nickel/steel clad plates provides Thermax with titanium/steel and nickel-based alloy clad plates Hay:D04SXTC
The world’s leading manufacturer of amorphous alloys and large and thin-walled magnesium alloys precision die castings Hay:T02DGYA
China’s leading ultra-fine crystal titanium alloy bar, wire, and forging manufacturer established the “Titanium Alloy Rolling Technology Joint Laboratory” Hay:A04SXTC
Zeon Nano Tech has developed high-conductivity soft silicon rubber with single-walled carbon nanotube (SWCNT) technology
China’s leading carbon nanotube conductive paste expands production capacity Hay:H02JSTN
China’s nano/micro-nano composite ultra-high temperature refractory metal powder, high-performance fine-crystalline tungsten-based composite material manufacturer Hay:A05CSWN
The world’s largest manufacturer of wood granular activated carbon and supercapacitor activated carbon Hay:B07FJYL
Global leading manufacturer of ACF (Anisotropic conductive film) / ACF tape Hay:F04SZWB
China’s largest manufacturer of aluminum-plastic films for lithium batteries expands production capacity Hay:N04SZXL
Chinese manufacturer of super large seamless ring forgings provides wind power flanges for Vattenfall Hay:T03SDYL
China’s leading high-temperature alloys/Superalloy and corrosion-resistant alloys manufacturer for aerospace and gas turbine successfully IPO A04JSLD
China’s leading manufacturer of high-barrier nylon film (BOPA film) builds a new production base Hay:F08HBMZ
China’s leading fuel cell graphite bipolar plate manufacturer Hay:B01SHHJ
DuPont completes the acquisition of Laird, a global supplier of electromagnetic shielding and thermal management materials
Balmoral FibreFlex™ fibre-reinforced cable protection system
China’s leading manufacturer of precious metal electrical contact materials and Ag/Cu composite materials Hay:A01YNGJ
Porous gallium nitride (GaN) manufacturer:Porotech completes a new round of financing
China’s leading radiant cooling film and radiant cooling metal plate manufacturer Hay:F03NBRL
China’s leading wafer-level liquid alloy micro-casting technology company Hay:T02SHMZ
China’s leading neutral gray mirror (ND mirror) manufacturer Hay:F06SZJB
China’s leading manufacturer of cemented carbide products and rock drilling tools Hay:A04JSXR
China’s leading silicon carbide (SiC) epitaxial wafer manufacturer receives investment from Huawei Hay:I01GDTY
NAWASTITCH nanotube-reinforced carbon fiber technology BRINGS GAME-CHANGING STRENGTH Racing Rims/Wheels
China’s largest Micro motor: Shaded Pole Motor and BLDC Motor manufacturer Hay:U01HNKL
American CNT hybrid transparent conductive film manufacturer CHASM Advanced Materials and Henkel Adhesive Technologies establish a strategic partnership
China’s leading manufacturer of low-expansion alloy, Nickel-copper alloy,corrosion-resistant and high-temperature resistant seamless pipes Hay:A04SHYL
Silicon carbide wafer maker SK Siltron CSS expands production
Avient launches new long-fiber reinforced nylon 6 and 6/6 composite materials
Global leading Copper Clad Aluminum (CCA) Bimetal Busbar and Wire manufacturer creates lightweight solutions Hay:D04YTFX
Samsung Electro-Mechanics’ carbonyl iron powder supplier successfully IPO Hay:A05JSYA
Global Leading High-Performance PBO Fibers manufacturer Hay:D01ZJZK
The world’s leading manufacturer of Ce:GAGG and Ce:LYSO Scintillator Crystal Hay:B06DKCQ
China’s electromagnetic shielding film market is expected to reach 3.2 billion yuan in 2025
China’s leading manufacturer of industrial oxygen and hydrogen molecular sieves Hay:F07LYJL
China’s first Halogen-free and low-smoke power cables for coal mines is launched Hay:A03SXYG
China’s leading manufacturer of precision and complex steel profiles Hay:T04HNJW
The 76.8MHz 1612 thermal crystal developed by a Chinese company has passed Qualcomm certification Hay:I06GDHL
China Liquid Metal Technology Corporation successfully developed liquid metal LED transparent smart glass screen Hay:H06YNYT
China’s leading manufacturer of high-smooth MLCC release base film/BOPET release film Hay:C04SDKH
BASF launches carbon fiber reinforced PPA to replace aluminum and germanium
China’s highly conductive graphene film manufacturer pioneered graphene welding technology Hay:F03WHHX
China’s leading manufacturer of ultra thin metal bipolar plate for Fuel Cells Hay:N01WXWF
China’s leading manufacturer of precision aluminum alloy structural parts/precision aluminum alloy die casting parts Hay:T02SZCX
China’s photovoltaic tempered and coated glass manufacturer builds a new ultra-thin and high-transmittance photovoltaic glass production base Hay:B04HFCH
The 3D graphene Vapor Chamber developed by a Chinese graphene thermal film manufacturer was successfully applied to MIX 4 mobile phones Hay:F03GDMR
China leading Tin plated copper wire, tin-plated alloy copper wires and alloy copper stranded wires manufacturer Hay:A03JSYT
China’s leading pharmaceutical tube grade cellulose film manufacturer Hay:F08HBJH
Chinese manufacturer of titanium alloy tubing and corrosion-resistant alloy seamless pipe successfully developed the world’s highest strength steel Coiled tubing Hay:A02SXYG
Chinese aircraft polyurethane protective film manufacturer develops TPU/polyurethane automotive paint protective film Hay:C04JSTY
Chinese rare earth coating material manufacturer successfully developed thermal insulation rare earth coating material Hay:K06SCZX
Global leading carbon, aramid and UHMWPE fiber composite material manufacturer Hay:D02JSXC
China Carbon Fiber Composites Technology company developed a snowmobile for the Beijing Winter Olympics Hay:D02BJHC
China’s high-purity and ultra-fine silicon powder manufacturer builds a new production base Hay:B04JSLR
China’s graphene antibacterial and antiviral KN95 mask/medical mask obtained the EU CE certification Hay:P05GSFD
China’s new anti-coking aluminum-containing superalloy furnace tube was successfully used in a million-ton ethylene facility for the first time Hay:A04QDXL
Chinese semi-insulating silicon carbide substrate manufacturer builds a 6-inch conductive silicon carbide substrate production base Hay:I01SDTY
China’s aviation aluminum alloy extrusion profile manufacturer obtained the aviation quality management system certification issued by Bureau Veritas Certification Hay:T06NTYT
China’s leading manufacturer of transparent magnesium aluminum spinel ceramics and ultra-low expansion glass ceramics Hay:B06ZCJT
First Graphene builds the world’s first commercial graphene-reinforced fiber composite swimming pool
The PVDF film market continues to expand and the technical level of Chinese PVDF film manufacturers continues to improve
China leading Lining type (mechanical) bimetal composite pipe/corrosion-resistant alloy clad carbon steel composite pipe manufacturer Hay:D04XAXY
First solar promotes the development of cadmium telluride thin film solar cells
China’s leading consumer-grade AR optical field glasses manufacturer releases lightweight holographic resin optical waveguide Hay:F06HZGL
China’s only manufacturer of 3.3m super large diameter HDPE plastic pipe suitable for deep sea laying Hay:C02FJNC
The high-temperature superconducting cable hybrid transmission technology developed by China can transmit both electricity and LNG Hay:H07ZGDK
Electromagnetic shielding material: Nickel-plated conductive sponge Hay:F05HNLY
China leading stainless steel fine wire and high-alloy microwire manufacturer Hay:A05ZJTL
China’s graphene/carbon nanotube industry development
Apium’s PEEK implant 3D printing equipment uses Evonik VESTAKEEP implant-grade PEEK filament
Nexperia acquired 100% ownership of Newport Wafer Fab and officially changed its name to Nexperia Newport
LANXESS and INFAC jointly developed a glass fiber reinforced Durethan BKV30FN04 housing for EV battery modules
China Rare Earth Technology Corporation successfully developed a light rare earth heat-resistant agent for improving the heat-resistant grade of silicone rubber and fluorosilicone rubber Hay:A06BTXT
HChinese leading semiconductor refrigeration and thermoelectric power generation materials and devices Technology company ay:F03HBSG
China’s leading manufacturer of steel belt/strip reinforced HDPE RTP and flexible composite high-pressure conveying pipes Hay:D02TJHZ
China’s leading manufacturer of copper-tungsten alloy electrical contact materials and copper-chromium-zirconium alloy Hay:F04LNJC
A lightweight camera drone developed using Hexcel HexPly carbon fiber prepreg successfully made its first flight
China company successfully developed nanotube modified high-strength and lightweight aluminum alloy fittings Hay:A03WHNR
VueReal wins Mass Orders for Micro LED Transparent Screen
The construction machinery hydraulic seal supplier of Caterpillar, SANY and XCMG Hay:U07SHWW
Baxter International intends to acquire Hill-Rom Holdings
China Super Large High Performance Advanced Material Technology Group Hay:D02ZGJC
High-purity semi-insulating silicon carbide substrate production line began commercial production Hay:I01HBTG
The CTO of Chinese manufacturer of high-performance basalt fiber composite materials was elected as a academician of the European Academy of Sciences and Arts Hay:D01JSLC
SABIC’S NEW ELCRES™ HTV150 DIELECTRIC FILM PERFORMS AT 150°C FOR DC LINK POWER CAPACITORS
BASF’s ultra-light polyurethane Ultradur® High Speed helps Grupo Antolin create a lightweight and emission-reducing roof frame
Chinese manufacturers of ultra-thin photovoltaic glass have developed ultra-thin (1.6mm) photovoltaic glass with a lighter weight Hay:B04JSYM
SABIC launches glass fiber reinforced PBT materials[LNP Thermocomp WFC06I and WFC06IXP] for automotive radar
Applied Material launches silicon carbide-optimized Mirra® Durum™ CMP System
AREVO builds the world’s largest continuous carbon fiber composite 3D printing factory
China vigorously develops high-performance rare earth polishing materials [rare earth polishing powder and rare earth polishing liquid] Hay:A06BTYH
China’s leading manufacturer of precision slip rings Hay:U07JXYZ
The world’s largest manufacturer of magnesium alloy precision parts Hay:T02NJYH
China’s rare earth permanent magnet manufacturer and LG Innotek have developed the world’s strongest magnetic “environmental protection magnet” Hay:F05HNXL
China’s high-end carbon fiber invisible champion enterprise Hay:D01ZFSY
China’s superhard materials-synthetic diamond industry is on the rise 2/2
The Micro-Grain Phosphor-Copper Anode/balls for PCB produced by the Chinese company account for 30% of the world market Hay:A03JXJN
China’s leading micro prisms, glass aspheric lenses and glass wafers manufacturer Hay:F06ZJLT
China’s leading electronic-grade Colorless polyimide (CPI) film manufacturer builds a new production base Hay:C04SDDY
China’s leading manufacturer of intelligent operation robots for special application scenarios Hay:R10NJYJ
China’s leading manufacturer of ultra-large and complex structure aluminum extrusion profiles Hay:T06SDCL
SCHOTT Achieves 20% Weight Reduction of RealView 1.9 AR Lightweight Optical Wafer
China leading Dual Wavelength Antireflective Film and Multiwavelength reflective film manufacturer Hay:F06SHDH
Ultra-thin stainless steel Vapor-Chamber/VC soaking plate supplier Hay:F03GDLY
China leading Solar Cell Metallization Paste and Low Temperature Silver Paste manufacturer Hay:I02HNLD
China’s leading roadway expansion joint and modified polyurethane bridge deck paving system breaks the German MAURER monopoly Hay:K09NBLB
Web Industries thermoplastic prepreg tape continues to show potential in aerospace composites
The CR929 fuselage carbon fiber structure provided by the Chinese Aerospace grade carbon fiber composite structure manufacturer passed the test Hay:D02CZAT
Comau won The Capek Prize with Valeo Siemens drive motor assembly line project
China’s leading Wafer Level Optics/WLO and microarray ultra-thin under-screen fingerprint lens manufacturer Hay:F06XAHT
China leading Ultra-thin medium-aluminum electron ic glass and ITO conductive glass manufacturer Hay:F04SZNB
GE Healthcare acquires BK Medical
KBBF crystal-deep ultraviolet laser crystal material and LSBO crystal-deep ultraviolet nonlinear optical crystal material developed in China lead the world
China’s high-performance membrane electrode for hydrogen fuel cell stack realizes commercial production Hay:N01SHWS
AECC released ceramic matrix composite guide vanes and powdered superalloy double-spoke turbine discs at AIRSHOW CHINA
China’s leading high-performance electricalinsulating materials manufacturer Hay:F04BJXF
Chinese plated Nickel copper tin anticorrosive coating tubing and casing coupling invisible champion manufacturer Hay:A02SDYL
China’s leading medical biodegradable magnesium alloy manufacturer successfully developed magnesium alloy microtubes Hay:P01SHHC
China leading ultra-thin light conducting film and quantum dot film manufacturer Hay:C04NJBD
China leading high-strength and elastic fastener system & high-reliability anti-loosening fasteners manufacturer for high-speed rail Hay:U07SCLC
Chinese leading PI heating film and fireproof insulation cotton manufacturer for Lithium-ion battery Hay:F03GDGX
The leading large diameter and ultra-thick wall seamless steel pipe manufacturer Hay:A02NMBF
China vigorously promotes degradable liquid mulch Hay:C03SXYL
Nippon Steel began exporting stainless steel HRX19 for high pressure hydrogen environments
China’s ultra-fine tinned rare earth copper wire production line was put into operation Hay:A03JSZX
The leading supplier of liquid-resistant and gas-proof nano-film and multilayer corrosion-resistant nano-film for Huawei, Amazon, and Apple Hay:C04JSFW
Chinese leading high-purity [4-7N] metals tellurium, indium, germanium, cadmium, antimony and oxides manufacturer Hay:A01WHTC
China’s leading manufacturer of Thermoplastic carbon fiber composite structural parts imports 2 Continuous Compression Molding (CCM) system from Teubert Maschinenbau GmbH Hay:D02SXSQ
Rochner Automotive focuses on composite materials to create lightweight structural design
Chinese leading stainless steel and special alloy seamless pipe manufacturer’s new production base for precision ultra-long stainless steel pipes is put into operation Hay:A02JSWJ
Titanium-palladium alloy and titanium-molybdenum-Nickel alloy Pipe /Tube manufacturer Hay:A04ZJJL
JSR exclusively supplies QD ink for Samsung Display QD panel
Chinese Amorphous Alloy Manufacturer Realizes Industrialized Production of Centimeter Thick Amorphous Alloy Products Hay:H06JSHD
China Thermal Insulation Materials Technology Corporation successfully developed sound insulation and heat insulation ultra-fine glass fiber cotton for large aircraft Hay:F03CQWL
China’s leading Stainless steel reflective industrial code disc manufacturer Hay:F06WHZY
Chinese leading pre-oxygenated carbon fiber aerogel battery insulation sheet manufacturer Hay:F03GZWJ
The ultra-thin/super-thick black polyimide /PI film supplier of Apple, Huawei, Samsung and Tesla Hay:C04ZJJS
China’s largest High-strength thin-walled, narrow-diameter and high-corrosion-resistant precision copper pipe manufacturer Hay:A03HNJL
Chinese Nano Silver Wire Technology Company successfully developed a self-killing anti-Coronavirus (COVID-19) functional material Hay:P05SZYJ
Chinese leading AR array optical waveguide and AR two-dimensional array optical waveguide supplier Hay:F06SHLP
China-made high-performance carbon fiber prepreg is successfully applied to C919 large commercial aircraft Hay:D02JSZJ
The Aero-engine titanium-aluminum blades supplier for Rolls-Royce Hay:A04AHYL
SABIC launches the world’s first bio-based, certified renewable polyetherimide (PEI)
TRB Lightweight Structures develops composite protection board for electric vehicle underbody
Global leading dendritic polymers and dendritic polymers protective coating supplier and manufacturer Hay:C03WHCY
The 700℃ ultra-supercritical unit steam turbine rotor forging developed by the Chinese Super large nickel alloy die forgings manufacturer passed the acceptance Hay:T03ZGYZ
Chinese manufacturer of super large-diameter integral bearing forged ring assists [11.5m] super large diameter integral slewing bearing project Hay:T03SDYL
Exo Technologies has developed a new bulletproof material ExoProtect
China’s leading manufacturer of polyester industrial fibers for oil pipelines has a market share of 90% Hay:D01SZHL
The world’s largest ultra-high-purity tantalum project for VLSI manufacturing was put into production Hay:A01LSTC
Global leading nano-film ultra-fast electric heating element supplier Hay:F03AHER
Chinese leading CC-C/SiC ceramic matrix composite structural parts manufacturer builds new production base Hay:D05XAXY
7XXX alloy [Duralumin or Duraluminum] die forgings passed the test Hay:T03ZLXN
China Cermet Technology Corporation realizes commercial production Hay:B01SZXR
Chinese leading supplier of High-precision fixture and forging die for automobile drive shaft Hay:T10SHJZ
Chinese chip company releases high-precision audio positioning chip based on RISC-V architecture Hay:I06ZJZL
Chinese leading manufacturer of synthetic fused high purity quartz releases new products Hay:B04SHJZ
Evonik offers customizable RESOMER® PrintPowder for 3D printing of personalized, implantable medical devices
Chinese leading tantalum, niobium and beryllium materials supplier Hay:A01NXDF
Global advanced technology ceramic industry: Japan, the United States and the European Union
Chinese leading AlN & Al2O3 ceramic substrate supplier for Huawei Hay:I04FJHQ
Some Chinese companies have made breakthroughs in polyimide/PI slurry and polyimide/PI film Hay:C04HBDL
China’s leading manufacturer of carbon fiber pultruded panels and carbon fiber prepregs successfully developed carbon fiber gangway handrails Hay:D02JSHS
Chinese leading ultra-tough/ultra-high heat-resistant nylon and lightweight/high-strength polyamide functional material Supplier Hay:C03GZJF
High melt strength polypropylene resin developed by Chinese company will replace plastic foam Hay:C03NBZH
Chinese High Manganese Alloy:High Strength, Toughness and High Energy Absorption Alloy Realized commercial production Hay:A04AHYL
China’s high-performance rare earth permanent magnet manufacturer officially becomes Nidec’s supplier Hay:A06JXJL
LANXESS’s Tepex continuous fiber-reinforced thermoplastic composite material creates a lightweight bracket for batteries
China’s leading manufacturer of large-diameter [1300mm]thick-walled seamless stainless steel pipes Hay:A02JSZX
Chinese auto parts manufacturer cooperates with LANXESS to develop glass fiber reinforced PA6 gearbox cover Hay:C03HBTJ
Heraeus Welco LED131: Lead-free No-Clean Printing Paste
Toray Industries launched Torelina: the only bi-axially oriented polyphenylene sulfide (PPS) film available in the world
The global leading high-precision bearing retainer supplier for SKF, Schaeffler, NSK and TIMKEN Hay:U03SDJD
The combination valve of hydrogen cylinder for vehicles made in China obtained the certification of the German Ministry of Transport (KBA) Hay:U05SHSH
China’s gallium nitride self-supporting single crystal substrate manufacturer has exceeded 1 cm in thickness of gallium nitride crystal Hay:I01WXWY
China’s high-performance aluminum-lithium alloy material invisible champion enterprise Hay:A03ZLXN
The leading Foaming & Forming mould and Die-cutting mould supplier of Faurecia, Antolin, Treves, Autoneum, Adient, Auria, Pelzer and Lear Hay:T10NTCD
The world’s largest VR optical lens supplier for Apple and Facebook builds a new production base Hay:F06TWYJ
The aluminum alloy engine cylinder head, gearbox housing, compressor housing and EV motor housing supplier of BMW, Mercedes-Benz, Bosch, Citroen Hay:T02XJGS
Large size Silicon carbide reflector/silicon carbide mirror for aerospace Hay:B01ZKCC
ROHM expands production capacity of PLEXIGLAS® PMMA in Shanghai plant
Chinese leading stamped & etched lead frames manufacturer builds new production base Hay:I04HKAA
The Graphene composite functional non-woven fabrics and graphene antibacterial and antiviral masks developed by Chinese company are favored by the market Hay:P05GSFD
Chinese leading tungsten copper, molybdenum copper and copper tungsten carbide electrical contact manufacturer Hay:F04SXZT
Chinese optical cable technology giant releases fire-resistant, anti-icing, and anti-rodent special optical cables Hay:F06JSZT
Zeolite molecular sieve CH4/N2 separation adsorbent developed by Chinese molecular sieve manufacturer wins award Hay:F07LYJL
High temperature resistance, low heavy rare earth and high performance rare earth permanent magnet material project won the award Hay:A06JXJL
China’s high-purity rare earth oxide supplier supplies neodymium praseodymium oxide to Apple Hay:A06JAXT
The global leading power management chip/DC/DC chip supplier Hay:I06GDXD
NCC and Nexam Chemical AB successfully manufacture high temperature resin composite parts
Chinese leading conductive steel wire manufacturer achieves mass sales Hay:F04AGJT
China’s leading manufacturer of underwater robots and underwater vehicles Hay:R10BJBY
Chinese leading AWG Mux/Demux,Optical Fiber Array (FA) and PLC Aligned components manufacturer for Telecommunication Device and Optical Transceiver Hay:I06WHYL
Apple’s linear haptic motor supplier releases ultra-wideband X-axis linear motor Hay:U01SZRS
China’s Leading Precision Silicon Carbide Ceramic Parts Manufacturer Provides Silicon Carbide Ceramic Seal Rings for Shenzhou Spacecraft Hay:U07NBFE
The global leading copper cold forging base plate heat sink for IGBT supplier of Bosch and Infineon Hay:F03HSGJ
The global leading MEGA super-large aluminum alloy die castings supplier using Semi-solid casting technology Hay:T02ZJHS
Chinese leading supplier of Beryllium copper/phosphor copper/brass capillaries, beryllium copper strip, chrome zirconium copper strip and copper nickel silicon strip Hay:A03SZRJ
China Rare Earth Bearing Steel Manufacturer Hay:A02SGJT
Chinese high-performance composite material manufacturer to provide ultra-low temperature impact-resistant composite material for Winter Olympics snow wax car Hay:D02SDKH
LG Chem to increase annual production capacity of polyolefin elastomer film for solar panels by 100,000 tons
The leading Glass Microfiber wool and Glass Microfiber Air-laid Mat supplier Hay:F03SCZY
Nubia Z40 Pro adopts high-performance cooling system: micro-nano-cavity graphene phase change vapor chamber
China 3D Graphene Vapor Chamber Manufacturer Provides Micro-Nano-Cavity Graphene Phase Change Vapor Chamber for Nubia Z40 Pro Hay:F03GDMR
China’s leading multi-step complex section superalloy/titanium alloy forgings manufacturer for aviation Hay:T03GZAD
Chinese electronic heat dissipation material manufacturer releases new active passive heat dissipation material Hay:F03WHOP
Global leading 12-inch GaN-on-Si epitaxial wafer technology company Hay:I01SZJZ
The leading supplier of CT and DR tube components:Copper-nickel alloy shell assembly for Siemens Medical Equipment Hay:A03SXSR
Nickel silver strip and copper-nickel-silicon strip Supplier Hay:A03AHXK
The leading gradient index (GRIN) micro-optic lenses and lens systems supplier Hay:F06XAFM
Chinese company builds new graphene composite fiber and graphene interwoven fabric production base Hay:D01JXSH
China’s leading manufacturer of high-strength and high-conductivity copper alloys builds a new production base for chromium-zirconium-copper and copper-iron alloys Hay:A03SXSR
Chinese high-purity semi-insulating SiC single crystal substrate manufacturer successfully achieves commercial production of HVPE gallium oxide homoepitaxial wafers Hay:I01ZGDK
The leading Vanadium-nitrogen alloys, vanadium-iron nitrides, high-purity vanadium and vanadium-aluminum alloys supplier Hay:A04HNZX
China’s super large panel borosilicate fireproof glass realizes commercial production Hay:B04AHKS
The global leading motorcycle cylinder heads and engine aluminum precision alloy parts supplier for BMW,Kawasaki,PIAGGIO Hay:T02CQZC
SKC Kolon PI was sold to Glenwood PE
China-developed ceramic matrix composite monolithic turbine disk successfully completed its first aerial verification Hay:D05XBGY
Mitsubishi releases Kyron ™ ULTRA carbon fiber reinforced thermoplastic resin unidirectional prepreg
Poongwon Precision successfully develops Fine Metal Mask (FMM) for OLED
Showa Denko Starts Mass Production of Silicon Carbide Single Wafers, Establishing a Stable Supply Chain for SiC Epitaxial Wafers
The leading Continuous glass fiber reinforced epoxy vinyl ester prepreg supplier for electric vehicle lithium battery box Hay:D02SDCY
The leading Micro/Nano cellulose matrix multifunctional food wrapping paper supplier Hay:F08SDSQ
China’s leading ultra-thin photovoltaic glass manufacturer releases ultra-thin [2mm] Photothermal glass Hay:B04LYBL
Chinese manufacturer of precision ring forgings for aero-engines and gas turbines builds new production line Hay:T03GZHY
China’s leading megapixel 7P optical lens manufacturer successfully commercializes Surround View 3M vehicle lens Hay:F06SZOF
The Leading carbon nanotube conductive powder/paste and graphene composite conductive paste supplier saw a significant increase in sales Hay:H02JSTN
The leading High performance corona resistant polyimide/PI film supplier Hay:C04SDZY
The leading Anti-corrosion resin coating supplier for subway rails Hay:M02WHGT
China’s liquid metal focuses on the frontier of world science and technology Hay:H06YNYT
Stainless steel for liquid hydrogen storage containers Hay:A05SXTG
The leading LCP resin supplier of Amphenol and Molex Hay:C02SZWT
The world’s largest ultra-low thermal conductivity vacuum insulation panel manufacturer builds new ultra-fine fiberglass core production facility Hay:F03FJST
China’s ceramic-reinforced aluminum matrix composite brake disc achieves commercial production Hay:D04HNJT
Solvay Expands Capacity for SolvaLite ® 714 Carbon Fiber Prepregs and Woven Fabrics
The leading Electrolytic/Atomized Copper Powder and Tin powder supplier of hoganas and Indium Hay:A05BJYY
China’s ultra-wide aluminum alloy sheet and aluminum alloy forgings manufacturer provides high-performance materials for China Aerospace Hay:A03ZLXN
The leading high strength polyester fiber flexible net and geosynthetics supplier Hay:D01JNHK
Chinese ultra-thin 0.015mm precision stainless steel foil manufacturer Hay:A02TGJT
Schaeffler’s vanadium alloy steel supplier wins bid for Chinese nuclear power project Hay:A02HGCG
The leading PLA biodegradable tableware/paper cup supplier of Starbucks and McDonald’s Hay:C03HFHX
The global leading large-size molybdenum tube target supplier Hay:A01LYKW
Chinese titanium alloy manufacturer successfully commercializes rotor-grade titanium sponge Hay:A04YNLF
The leading ultra high purity copper 7N, high purity nickel 6N and high purity cobalt 6N supplier Hay:A01GSJC
China’s leading tungsten-molybdenum alloy manufacturer Hay:A04BJAT
Lightweight material: ultra-thin aluminum honeycomb core Hay:A03SZQX
Chinese leading copper foil manufacturer realizes industrial production of 4 micron ultra-thin copper foil for lithium battery Hay:A03JXJT
Symbio and Schaeffler form a joint venture [Innoplate] to produce fuel cell bipolar plates
The leading small modulus precision gear and pinion supplier Hay:U02SZDT
The leading nickel foam metal belt supplier for hydrogen production Hay:N01HNKL
The leading high temperature resistant insulating mica supplier of Tesla, BMW and Mercedes Hay:F03ZJRT
TCO conductive film glass realizes commercial production Hay:B04SDJJ
The leading nanofiber/Microporous membrane and filter element Supplier Hay:F07WHWC
China’s Leading Titanium Alloy Casting Manufacturer Provides Products for Rocket Hydrogen Oxygen Engines Hay:A04SYZZ
China’s broadband coaxial probe realizes import substitution Hay:I03ZGDZ
The leading silicon boat, precision silicon parts and large size quartz crucible supplier for semiconductor field Hay:I03NXDY
The global leading Aerospace Ring Forging Supplier of Rolls-Royce and GE Hay:T03WXPK
The leading Large diameter titanium alloy pipe and large size powder superalloy rod Supplier Hay:A04NMBF
Controlled iontophoresis technology developed in China outperforms Melonite® -QPQ® Hay:F01HNHM
China’s leading tungsten copper composite component manufacturer supplies WEST and ITER with tungsten clad copper composite divertor Hay:D04BJAT
The leading Cadmium Zinc Telluride/CZT Crystal Supplier Hay:B06SXDT
The leading precision[aluminum alloy, magnesium alloy and titanium alloy] parts and composite parts Supplier for aviation field Hay:T09HEGL
Odelo uses ROHM PLEXIGLAS material to produce taillights for Audi A6
Chinese scientific research institutions successfully achieve 50mm thick 6-inch silicon carbide single crystal growth
Aluminum, Magnesium and Titanium Rapid Prototyping Center for Aerospace Hay:H01SXKS
Low background radiation level stainless steel plates and bars Hay:A02TGJT
Luxfer Gas Cylinders’ hydrogen storage solution featured on Tevva’s hydrogen electric truck
CorPower Ocean has teamed up with composite machinery specialist Autonational and composite tank experts CPT Tankwell
China’s largest manufacturer of High performance PBO fibers, PBO fabrics, PBO composites and their products Hay:D01ZJZK
The Aviation & automotive Grade Carbon Fiber Composite Structural Parts Supplier of Airbus and Boeing Hay:D02CZAT
China’s largest nano-tungsten powder and nano-tungsten carbide powder manufacturer to expand production Hay:B01ZYWY
Chinese auto aluminum alloy precision casting manufacturer introduces super-large integrated aluminum alloy high pressure die casting production line Hay:T02GDWC
The Global Leading Precision Aluminum Housing Supplier for Turbocharger Compressors Hay:T02WXXN
The Leading Thermally Conductive Silicon Nitride Ceramic Substrate and G3 Grade Silicon Nitride Ceramic Bearing Ball Supplier Hay:B01ZCDH
Boston Scientific Acquires Gel Embolic Materials (GEM) Materials Technology Company Obsidio
The Hydraulic Motor Housing & Pump Manifold Housing Supplier of Linde Hydraulics Hay:T09SDBS
China’s Leading Nano Copper Wire and Nano Copper Metal Powder Supplier Hay:A03SZZW
The Global Leading Low Melting Optical Polystyrene and Metallocene Ultra High Transparent Polypropylene mPP Supplier Hay:C02ZGSH
The third company in the world and the only company in China to industrially produce high-performance alumina fibers Hay:B01SDDH
The Global Leading Precision Mechanical Movement Components and Main Plate Supplier of Swiss Watch Companies Hay:T09WHCL
The Leading Seamless Tantalum Tube and Ultra Wide Tantalum Sheet Supplier Hay:A01HNNF
Chinese titanium alloy manufacturer mastered the radial forging technology of high-strength and high-toughness titanium alloy thick-walled pipes Hay:A04BJTP
China’s leading manufacturer of perfluorinated seals develops perfluoroelastomer & metal integrated valve plate Hay:U07SHXZ
China develops biodegradable zinc alloy head and neck brace Hay:P02BJTT
The Leading Large Precision Casting and 3D Printing Complex Structural Casting Supplier Hay:T02SDBL
China’s ultra-light magnesium-lithium alloy structural parts industry has surpassed the USA and Russia Hay:A03ZZQY
China’s leading manufacturer of copper-nickel-silicon and copper-chromium-zirconium for charging piles Hay:F04NBBW
The Leading Nano Silver Wire Transparent Conductive Paste Supplier Hay:H02ZHNJ
The Conductive Paste Supplier Hay:F04NJNW
Wolfspeed builds world’s largest silicon carbide fab
China promotes large-scale application of high-performance magnesium alloys in automotive and aerospace fields
China Leading Aerogel Thermal Insulation Felt Manufacturers Formulated “Nano Aerogel Thermal Insulation Material Series Standards” Hay:F03BJRZ
The Leading Diesel Engine Heads and Engine Blocks Supplier of Cummins Hay:T02HBCY
China’s biodegradable material PBAT passed DIN certification Hay:C03ZGSH
The Leading Dual Waterproof USB Type-C Connector Supplier Hay:F04JSHR
Huawei replaces Corning Gorilla Glass with transparent nano-glass-ceramic made in China Hay:B04CQXJ
China’s high-strength and high-toughness PVDF solar back sheet film material technology won the gold award Hay:C04SDHX
The Leading PTFE fiber filter bag and PTFE heat transfer tube Supplier Hay:C02JSJY
The Leading YRT Turntable Bearing and Industrial Robot Reducer Bearing Supplier of DMG and ABB Hay:U03LYHY
CRP Technology uses carbon fiber filled polyamide material to provide 3D printing composite tail rotor gearbox housing for Flying Cam
The Leading Aramid Dipped Cord and Aramid fabric Supplier Hay:D01QDTB
The Leading high-performance electromagnetic shielding materials and heat dissipation materials Supplier of Apple and HP Hay:F05SZLY
The leading ultra thin titanium and titanium alloy strip and foil manufacturer is launching new production facilities Hay:A04PGJT
The Leading tantalum and tantalum alloy tube and AgMgNi alloy supplier Hay:A04SXXB
The Leading Precision injection molding and precision stamping parts Supplier of Bosch and Tyco Hay:T01SHWK
LAMILUX Composites released the world’s most UV resistant fiber reinforced plastic GRP surface layer LAMILUX Sunshine
Chinese polyimide (PI) film manufacturer provide products for Samsung Galaxy S10 Hay:C04ZZSD
Chinese micro nano Ultraprecision machining supplier develops aeroengine flame tube for C919 Hay:T09SZWN
China’s leading manufacturer of superalloy disk forgings acquires the manufacturer of superalloy centrifugal cast pipes Hay:A04BJGY
Heraeus Shinetsu Quartz Semiconductor built New Production Facilities in China
The alumina silica glass and lithium alumina silica glass supplier Hay:B04CQXJ
Global Leading NbTi, Nb3Sn Superconducting Wire and Titanium Alloy Supplier Hay:A04XAXB
The Leading Ultra Supercritical Valve and Photothermal Molten Salt Valve Supplier Hay:U05HDDZ
China’s active biological bone was approved by NMPA Hay:P01YTZH
The Leading Superalloy and Titanium Alloy Die Forging Supplier Hay:T03XACJ
China’s leading manufacturer of lightweight steel wheels won the order from GM Hay:Q02ZJJG
The only supplier of oil pump and cooling pump for Honda and BMW motorcycles in China Hay:Q01SCCL
China made PEEK Patient Special Criminal/Commercial Implant (PSCI) has been approved by FDA for marketing Hay:P01SXKT
Edmund Optics® and SCHOTT Expand Partnership in China to Provide Easy Access to Optical Filter Glass
China has developed the world’s largest vacuum super large area source blackbody Hay:F06HTKG
The High Manganese Non magnetic Steel Successfully Applied the Core Parts of China High Speed Train Hay:F05JGHX
Chinese electronic silver paste manufacturer realize the commercial production of nano electronic paste Hay:I02WZHZ
MS Autotech acquires electron beam hardening carbon fiber reinforced composite technology
The Leading Helical curved aluminum alloy extruded profiles Supplier Hay:T06HNZC
The Leading Ultra thin Ultrafine Material and Superconducting Material Electromagnetic Wire Supplier of ABB and Siemens Hay:F05WXYF
The Precision PCD tool/PCBN tool and high-precision guide bar type hole machining tool Supplier Hay:T10ZZZS
The Leading super thin wall/super thick wall titanium alloy welded pipe supplier Hay:A04HNJT
The Leading Precision liquid cooling connector/water-cooled quick change high-strength aluminum connector for NIO Supplier Hay:U07NBDY
The leading tin coated copper tape and copper-clad aluminum busbars Supplier for solar/photovoltaic industry Hay:F01SZTX
The leading ultra miniature bearing with 1mm inner diameter supplier Hay:U03AHRZ
China successfully 3D printed large low-temperature metal thick wall parts Hay:H01ZGSY
The Leading Precision Titanium Alloy Wire for Biomedical and Precision Titanium Alloy Forging Supplier Hay:A04XAST
China’s leading GaN epitaxial manufacturer releases Full Color GaN Hay:I01SZJZ
The Leading ultra light magnesium lithium alloy and high-strength heat-resistant magnesium alloy supplier Hay:A03HNKG
The Leading Ultrashort focus laser TV Fresnel optical screen and extra large size Fresnel lens supplier
China’s highly active artificial bone materials were approved for marketing Hay:P01WHYZ
China Nuclear Power Inconel 690 alloy U-tube supplier acquires Vallourec nuclear power pipe project Hay:A02JSBY
The Leading Casting Superalloy Parts Supplier Hay:A04AHYL
China’s leading supplier providers hot rolled alloy tool steel X32 to several German bimetal saw blade manufacturers Hay:A02TYGT
The TPU film Supplier of Adidas and Nike Hay:C04GDHQ
The Leading PCI/PTA balloons Supplier for Vascular Disease Hay:P02SZYJ
The Leading Ceramic Overflow Brick Supplier for Making LCD Glass Substrate Hay:B03ZBGT
The Global Leading Acoustic Enhancement Materials/Nanometer Super-Structure Acoustic Material Supplier Hay:F02ZJBS
Chinese leading titanium alloy foil manufacturer successfully developed ultra-thin stainless steel precision foil Hay:A02NBYX
The Leading Large Size Precision Silicon Parts Supplier of Lam Research and TEL Hay:I03FJJG
China’s Leading A333 Gr6 low-temperature seamless steel pipe supplier has passed Bureau Veritas/BV certification Hay:A02HNHY
The Global’s largest supplier of gas valve alloy steel for internal combustion engines Hay:A02JSSY
China’s single crystal casting superalloy manufacturer signed a long-term supply agreement with SAFRAN Hay:A04WXLD
E Ink Announces Mass Production of E Ink Gallery (TM) 3 Full Color Electronic Paper
The Leading High Performance Geocomposite Geogrid/Basalt Fiber Geogrid Supplier Hay:D02SDLD
The joint venture of Hexagon Purus in China successfully exported 70Mpa type IV hydrogen storage cylinder
The technical barrier of Invar alloy is high and few global suppliers can commercialized production
The Leading Silicon Carbide Coated Graphite Base/Plate and Tantalum Carbide Coated Graphite Component Supplier Hay:I03HNDL
Solvay’s medical grade Zeniva PEEK material was selected by Japan’s KiSCO company to produce advanced intervertebral fusion cage
Furukawa Electric Group will supply high temperature superconducting (HTS) wire to Tokamak Energy
The leading Ultra-long stroke ceramic coated piston rod and hydraulic cylinder supplier Hay:R08WHLD
Global leading high purity pig iron, ultra-high purity pig iron supplier Hay:A01HBLF
Chinese lithium-ion battery separator manufacturer supplies semi-solid battery separator for FREYR Battery North AS Hay:N02SZXY
The lead precision motorcycles gears of Honda and Yamaha Hay:U02CQWC
China’s ultra-fine copper wire manufacturer realizes the industrial production of 0.05-0.07 mm diameter Microfine bare copper wire Hay:A03SZZW
The leading gallium fluoride glass and transparent ceramic supplier Hay:F06HZHY
China’s titanium alloy tubing manufacturer’s first full-scale physical HFW welded pipe high-pressure long cycle hydrogen charging test Hay:A02SXYG
The leading ultra high thermal conductivity/graphene thermal conductivity film supplier of Huawei Hay:F03CZFX
The high-purity rare earth metal ytterbium targets realized commercial Production in China Hay: A01BTXT
China’s largest small-diameter alloy high-pressure boiler pipe manufacturer builds precision tubes for electric vehicles Hay:A02JSCB
The leading high-speed and heavy-duty precision forging/gears supplier of GE and Gomesa Hay:T03JSJY
The Leading Conductive Carbon Black Suppliers for Shielding Materials of High Voltage Cables
The leading hard-coating printable PC sheets and large-size PC plastic glass supplier Hay:C03JSEG
The leading titanium clad steel composite plate supplier of Shell and GE Hay:D04XATL
The leading ultra-fine[0.015mm] Copper silver alloy wire supplier Hay:A03HZJT
The Leading Engineering Machinery Parts and Components Supplier of Komatsu and Caterpillar Hay:T02SDYS
Evonik collaborates with Samaplast to improve the performance of polyether ether ketone (PEEK) implants
China realizes large-scale/8-inch lithium niobate LiNbO3 crystals commercialized production Hay:B06SDHY
Toray Innovates Rare Earth-Free Zirconia Ball Mass-Production Technology
The Leading Ultra Thin Titanium Alloy Foil Supplier Hay:A04SDGX
Providing High Temperature Superconducting REBCO Tape for nuclear fusion High Temperature superconducting magnet Hay:H07SHCD
The Leading Cemented Carbide+Steel Composite Precision Components Supplier Hay:D04HNXD
Ultra flat & non textured surface stainless steel strip supplier Hay:A05SXTG
Thin walled titanium alloy sheet metal parts with complex structures Hay:T04SZWY
The Leading Axial Flux Motor Supplier Hay:U01SHPG
Chinese silicon carbide substrate and wafer manufacturers enter the supply chains of Infineon and Bosch Hay:I01SDTY
Gates Frontier Fund invests in metamaterial optical lens/metalenses manufacturer Imagia
China releases ultra-thin and ultra-high strength steel [600MPa-1300MPa] Hay:A02SHBG
The Micro nano optics and Ultra low resistance flexible MINI LED backlight film substrate supplier of Huawei Hay:I04JSSY
China won multiple large-scale projects for Axial flow check valves Hay:U05SCXD
SiC New Era: Win the World with IDM model and 8 inches SiC substrate
China’s leading manufacturer of large-sized diamond substrates for integrated circuits built a new production base Hay:I01HZXL
Hexagonal borated stainless steel seamless pipe supplier Hay:A05SXTG
China’s Super Large Shaped Hollow Tempered Glass Curtain Wall Supplier Hay:B04LYBB
Lightweight thermal shock protective coatings applied in the aerospace field Hay:M20ZSTY
Rolls-Royce UltraFan:Carbon fiber titanium alloy (CTi) blades
The leading TaC/SiC/HfC/ZrC coating Precision structural components supplier Hay:I03HNXM
The top high-performance seamless steel pipe manufacturer in China for oil and gas ,pressure vessel and mechanical processing pipes Hay:A02HYGG
The Nano waveguide optical field lenses and transparent conductive film materials supplier Hay:F06SZWG
Chinese MRI NbTi superconductor wire manufacturer won Siemens’
The leading supplier of Alumina fiber blanket, Alumina fiber cloth and sleeve Hay:F03SHRR
Ultra-tin[0.1mm] non oriented silicon steel foil realized commercial production in China Hay:F05BWXG
Arkema Acquires Majority Stake in PI Advanced Materials
The leading light guide plate supplier of Lenovo and HP Hay:F06SZTL
The Leading Liquid Cooler and Immersion Dissipator Supplier Hay:F03GZLJ
China Supplier Releases Low Temperature High Strength Vessel/Storage Tank Steel for Carbon Dioxide Transport Ships Hay:A02AGJT
Huawei Mate60 Pro uses high-performance copper alloy Vapor Chamber Hay:F03NBBW
The leading precision metal etching of titanium alloy plates supplier Hay:T08SZJQ
The world’s first 500 kV three core submarine cable has passed withstand voltage test Hay:F04NBDF
The global largest diameter main bearing for shield tunneling machine is offline Hay:U03ZGTJ
Meta Materials and Panasonic Industry Collaborate on Transparent Conductive Film
The leading carbon skateboards and brushes supplier Hay:B06SZDN
The flexible copper braided connectors supplier of ABB,Siemens and Schneider Hay:F04ZJJQ
China vigorously develops Medical materials:nickel titanium alloy thin-walled pipes and ultrafine titanium wires
The Graphite susceptors with silicon carbide coating supplier Hay:I03GZZC
The leading AlN/aluminum nitride substrate and structural components supplier Hay:B01CDXC
Chinese high-performance liquid rubber for high-frequency copper clad plate realize commercial production Hay:C05SHMM
The Leading Titanium/Zirconium/steel/Tantalum Composite Plate Supplier Hay:D04AHHL
Chinese first high-speed carbon fiber rotors and carbon fiber brushes manufacturer received a billion yuan financing Hay:D02AHMS
High strength aluminum alloy fasteners with a strength level of up to 600MPa Hay:U07HJYJ
Ultra pure ferritic stainless steel for fuel cells Hay:A05SXTG
The Basalt Fiber Supplier for Firefighting Clothing Fabric Hay:D01HBHE
The Leading Large size CVD ZnS infrared window material Supplier Hay:B06ZCJT
Chinese high-temperature superconducting YBCO low-voltage DC cable put into operation Hay:H07JSYD
The Leading Tricone Roller Bit Supplier of ExxonMobil & Weatherford Hay:T10ZSJZ
LG Chem and LX Hausys introduce extra flame retardant continuous fiber reinforced thermoplastic composites
China releases the world’s first wireless radio frequency coil for Magnetic Resonance system Hay:F05HFZJ
The leading semiconductor thermoelectric TEC materials supplier Hay:F03WHXS
Mitsui Chemicals Develops Diffrar™ Optical Polymer Wafers for AR Glasses
Structural ultra smooth/low ultra-low friction coefficient materials Hay:F01SZQL
China Ultra Precision Machining Solutions:Tool and Mold Making,Precision Components Hay:T09QZFX
China leading stainless steel and alloy steel seamless steel pipes manufacturer Hay:A02CZSD
China Leading Medium temperature hard solder Manufacturer and Supplier: Copper-zinc solder, silver-copper solder, high copper solder, copper-phosphorus solder, copper-phosphorus-silver solder, special copper-based solder, silver-copper-zinc Hay:F01HZHG
Precision automotive parts, communication precision parts, welding and cutting precision parts, hydraulic and valve pump precision parts, air-conditioning refrigeration spools manufacturer and supplier Hay:T09NBBM
Global leading CuCr and CuW manufacturer and supplier Hay:D04XASR
China leading ultra fine / Super Micro enameled copper wire and Super Micro Alloy wire bare copper wire, tinned wire, silver plated copper wire, tinned copper wire and copper-nickel alloy wire with diameter from 0.010 mm to 0.500 mm Hay:A03GDXF
China leading High precision ultra-thin rolled copper foil,lead frame copper strips for Lead Frames, High-purity Oxygen-free silver copper strips,plates and tape, High-oxygen flexible copper strips manufacturer Hay:A03ZLHZ
Chinese special alloy manufacturer has developed new super-light, super-strong super magnesium alloy/Ultra high strength magnesium alloy, high damping magnesium alloy which maybe replace carbon fiber and aluminum alloy Hay: A03USAL
Nano-composite technology developed by Chinese super-strength carbon fiber reinforced plastics improves the abrasion resistance of nano-abrasive plastic polymer by nearly 100 times Hay:C03JSFD
Tungsten nickel copper,tungsten alloys,Molybdenum copper sheet, tungsten nylon, metal matrix composite materials, high-precision ultra-thin tungsten alloy parts manufacturer and supplier A04SHLJ
China leading precision aluminum alloy parts and components: Heat Exchange System—MPE, Chassis System, Control Arm, Shock Absorber, Body Structural, Motor casing, Battery pack module, Heat Exchange System Pipeline manufacturer and supplier Hay:T06JSYT
China top aluminum-matrix composite materials: aluminum steel, aluminum stainless steel, aluminum copper, aluminum titanium, copper steel and steel stainless steel, brazing aluminum clad and multi-metal clad material manufacturer and supplier Hay:D04JSYB
China leading titanium alloy supplier Hay:A04SXXB
China leading High strength and high conductivity alloy materials: Electrical Contacts & Terminals [pin, pad], chrome zirconium copper, chrome bronze, zirconium bronze manufacturer and supplier Hay: F04NBBW
Global leading Photovoltaic Ribbon Sunwire,Round,square,flat,rectangle and other custom shaped wires,Oxygen-free copper tubes,MIG welding tubes and profile tubes,Rods,Bars,Strip and Tape manufacturer and supplier Hay:A03UKLT
China leading high-elastic heat-resistant electrical contact material Cu-Ni-Sn alloy, high-purity high-conductivity single-crystal copper ultra-fine cable/wire, complex thin-wall precision-molded aluminum alloy manufacturer and supplier Hay:A03XACJ
Aluminum titanium alloy supplier Hay:A03DGQN
Global leading Precision Precision Plain Copper Strip,Copper Alloys,Phosphor Bronze,HOT-DIP Tinning Copper Alloys,Profiled Copper Alloy Strip,high-performance copper alloys for electronic terminals and connectors manufacturer and supplier Hay:F04HKKM
Second to Futamura Cellophane Film,Chinese top manufacturer of Cellophane film,PVDC/MS coated cellophane film,Colored cellophane film,Food packing cellophane film,Medicine packing cellophane film,Cellulose tape Hay:F08SDHL
The Leading high-precision and high-complexity machined components Supplier of Caterpillar and Parker-Hannifin Hay:T02HKYP
Hay Think 瀚思参加2018全球供应链论坛
Private Enterprise Procurement Management Consulting Project Case Review
战略采购管理优化项目咨询Procurement Optimization
安索夫矩阵(Ansoff Matrix)
Hyosung builds the third PAN-based carbon fiber production line for IV type CNG and hydrogen pressure vessel
波特五力分析模型(Michael Porter’s Five Forces Model),又称波特竞争力模型
波特Michael Porter竞争战略轮盘模型
MBTI人格理论在企业招聘中的应用
托利得定理
曼陀罗思考法
赫斯定律(Hess’s law)
利基营销理论(Niche Marketing)
体验营销(Experiential Marketing)
个性化营销(Personalization Marketing)
合作营销(The Co Marketing Solution)
整合营销传播(Integrated Marketing Communication,IMC)
标杆瞄准(Benchmarking)标杆分析法/基准化分析法(Benchmarking,BMK),又称竞标赶超、战略竞标
价值管理 (Value Management)基于价值的管理(Value Based Management,VBM)
人格管理 (Character Management)
赋权管理 (Delegation Management)
K型管理(K Management)
互动管理 (Interactive Management)
仅仅一篇职业生涯规划作文对于真正求职找工作面试是远远不够的
供应链管理(Supply Chain Management ,简称SCM)
丰田式管理 (Toyota- Management)精益生产( Lean Production)
变形虫式管理 (Amoeba Management)
企业健康管理 (Health of Enterprise Management)
Why The Fortune Global 500 Companies Care So Much About The Ownership And Disposal Rights Of Molds?
Supplier strategic Relationship Mangement Comparison Between Robert Bosch and A.T.Kearney
高级采购管理中的中小型企业供应商选择的定位策略
战略采购管理咨询服务:民营企业如何提升企业采购管理水平
普通的采购工程师如何快速成长为一名优秀的采购经理
全球TOP10排名前10的领导力培训机构经典情境领导力模型Situational Leadership Model
战略采购谈判技巧之:谨慎过度使用的谈判策略:BATNA威胁着要走开
供应链发展的四个阶段,你们公司的供应链管理处于第几阶段?
宝洁公司PROCTER & GAMBLE采购经理:跨国外企集团常用的核心采购战略 Purchasing Strategy
沃尔玛供应链总监谈区块链技术如何改善全球供应链How Blockchain Is Improving The Global Supply Chain
采购部门的采购流程合规性管理 Procurement Compliance
项目寻源采购和采购战略:从以交易为重点到价值创造Sourcing and Procurement Strategy: From transaction-focused to source of added value
MRO采购的最佳实践 MRO Purchasing Best Practices That Will Benefit Your Company
如何有效降低采购成本及采购降本节约机会最佳采购实践Best Practices for Procurement Savings
采购管理系统新趋势:数字采购工具 Digital Procurement Tools 精
采购战略管理咨询顾问之利用 创新网络Leverage innovation network B8/64
采购战略管理咨询公司:采购战略之供应瓶颈管理 Bottleneck management_A5/64
采购战略管理培训咨询之 大型供应商全面合作战略 Mega supplier strategy B3/64
高级采购管理咨询培训机构 产品成分对标 Composite benchmark C6/64
采购管理战略咨询与培训 跨产品线整合Bundling across product lines C1/64
采购战略开发流程培训 之自制与外购战略 Make or buy E2/64
精益采购管理咨询顾问 标准化 Standardization D4/64
战略采购管理咨询与培训机构 产能协调管理 Collaborative capacity management E6/64
采购战略管理咨询与培训课程 逆向竞标 Reverse auctions F3/64
供应商管理培训 之供应商能力开发与发展 Supplier development G5/64
国际知名战略采购管理咨询与培训公司 收入共享 Revenue sharing F8/64
采购成本分析管理工具 因素成本分析 Factor-cost analysis H2/64
采购管理咨询与培训课程 采购战略发展的未来之路
战略采购管理咨询与培训课程 基于价值的采购 Value-based sourcing H7/64
国际高端品类采购管理Category Management咨询顾问服务机构:比战略采购管理还先进的品类采购管理
采购管理培训课程与机构 采购团队人才管理 4/5
采购管理咨询与培训课程 通过采购外包创造价值
采购工程师培训课程 采购技术的未来:平庸不再被接受
Strategic Purchasing Organizational Transformation: Agile Procurement: The Secret Weapon to Reduce Procurement Costs
采购与供应链管理培训课程/机构:架起采购与供应链之间的桥梁
采购合理应付成本Should Cost 分析与管理培训课程 产品成本管理真正价值是实现持续的降本目标
国际高端采购管理咨询顾问机构/公司 采购转型计划项目可以产生持续降低降本
采购采购流程优化管理:卓越采购组织绩效监控与管理
国际高端采购管理培训机构:三个对于采购总监/首席采购官CPO来说至关重要的成功要素2/3
采购成本数据分析管理与培训课程 采购商业数据挖掘 4/4
高端供应商管理培训课程与机构 积极实施战略供应商管理 5/5
采购谈判技巧之:您需要了解的三顶级大防御性谈判策略
了解沟通技巧,运用沟通流程七步法进行高效沟通
Transforming for Excellence in Supply Management
Strategic Sourcing Positioning,Reinventing and Transforming
Category Excellence Management in Sourcing & Procurement
What Great Category Strategies Can Do for Procurement
Purchasing Compliance Management In In Procurement Strategies: Manage Spend A2
Sourcing Community Management In Procurement Strategies: Manage Spend A4
Political Framework Management In Procurement Strategies: Change Nature Demand B5-Kearney
Invention On Demand In Procurement Strategies: Change Nature Demand A8-Kearney
Supplier Consolidation In Procurement Strategies: Manage Spend C2-Kearney
Product Teardown In Procurement Strategies: Change Nature Demand C7-Kearney
Global Sourcing In Procurement Strategies: Leverage competition among suppliers E1-Kearney
Standardization In Procurement Strategies: Manage Spend D4-Kearney
Collaborative Capacity Management In Procurement Strategies: Seek joint advantage with supplier E6-Kearney
Revenue Sharing In Procurement Strategies: Seek joint advantage with supplier F8-Kearney
Reverse Auctions In Procurement Strategies: Leverage competition among suppliers F3-Kearney
Supplier Development In Procurement Strategies: Seek joint advantage with supplier G5-Kearney
Factor-cost Analysis In Procurement Strategies: Leverage competition among suppliers H2-Kearney
Value-based Sourcing In Procurement Strategies: Seek joint advantage with supplier H7-Kearney
The top high-precision photomask manufacturers
MAG-IAS acquires Boehringer of IWKA Group
Chinese mica flake and mica powder manufacturer awarded by Merck Hay:B06JYJT
The nickel-based alloy 690 U-shaped heat transfer tube developed in China was successfully applied to the third generation ACP1000 nuclear power steam generator Hay:A04SHBG
China Automotive Brake Caliper and System Manufacturer & Supplier Hay:Q02ZJWA
China National Chemical Corporation acquires Pirelli Tire Make
German RepRap launched Carbon 20, a carbon fiber composite printing material with high rigidity and not easily broken.
China Braking System:Brake caliper, Booster, Brake Assembly Manufacturer and Supplier
China Leading Manufacturers of precision forged gears and other precision forgings for automobiles Hay:T03JSTP
Nanofiltration membrane material and membrane module: hollow fiber composite nanofiltration membrane, high concentration and difficult to degrade nanofiltration membrane Hay:F07SDSF
Chinese diamond manufacturer release large size polycrystalline diamonds Hay:B06ZZJT
Chinese fuel cell ceramic diaphragm plate manufacturer supplies products to Bloom Energy Hay:N04CZSH
China Manufacturer and supplier of Foam aluminum U-beam sound absorber, fully enclosed sound barrier, sound absorbing panel Hay:F02LNRD
The 260 million yuan project for the ternary battery material of China Mining Group started
China Leading Manufacturer and Supplier of Rail transit equipment anticorrosive coating, rail construction engineering waterproof material
Manufacturer of prefabricated direct-buried hot-water polyurethane insulation pipe, prefabricated direct-buried steel sleeve steel steam-insulated pipe, and metal bellows expansion joint Hay:F03DLKY
Solar Frontier PV module sales exceed 4GW, radiating to 60 countries worldwide
UK-China Joint Laboratory of Advanced Building Materials Officially unveiled at the University of London
China’s aluminum alloy die casting components and parts output enjoy rapid growth contributed by global sourcing from China
US LED maker Cree lays off news, LED performance is not as good as expected
Fujitsu plans to have 2,700 presidents in Europe
General Electric GE sets up 3D printing company Fuse to experiment with new business ideas
China successfully develops world’s largest diameter offshore wind turbine
German X-FAB factory cooperates with DOE to deploy high temperature injector
SolarReserve plans to build the world’s largest solar thermal power station, comparable to a nuclear power plant
Polymer tech maker PriPro launches new TPE compound-cross-linked thermoplastic polyurethane
PolyOne India starts production of special engineering materials
China Binzhou:Foundation laying of high-end aluminum deep processing industrial park project
LG Chem`s Supplier:China Enjie New Materials 2 Billion South China Base Project Settled in Zhuhai
LANXESS Tepex dynalite inlaid all-plastic brake pedal wins first prize in the “Interior of the Car Body” category of the Society of Plastic Engineers (SPE) Automotive Award
Lubrizol Specialty Polymers Announces New Distribution Partnership with LEHVOSS
China Manufacturer and Supplier for Extruded Polystyrene (XPS) thermal Rigid insulation Foam board cooperated with Chemtura Corporation Hay:F03NJFN
Tokyo district court rules Tachibana and other companies selling Everlight LEDs have infringed Nichia patents
China Leading Power Battery Materials Manufacturer and Supplier: Green Cooperate with Bangpu Cycle Technology to invest in nickel-cobalt-manganese hydroxide precursor materials
Sinochem prepares to make concessions to EU for successful acquisition of Swiss Syngenta
China Special Alloy Group`s High-silicon aluminum alloy project completed investment of 520 million yuan Hay:A03FJTD
INVISTA showcases latest innovations COOLMAX® EcoMade technology
China Special Aviation Aluminum Group Would Provide Aviation-grade aluminum sheet for Boeing aircraft
Constellium plans to add automotive aluminum sheet production line in France
4WEB’s New 3D Printed Titanium Implant Succeeds for First Clinical Surgery
Chinalco Guangxi Branch Successfully Tested Vietnam Renji Alumina Production Line
Global halogen-free flame retardant market volume will reach US $ 3.45 billion by 2024
BASF to provide Jaguar Land Rover with 37 new unique colors:Combination of R-MOnyx HD and Glasurit 90Line
Chinese chemical manufacturer Wanhua Chemical wins Supply Performance Award from Henkel
SABIC is dedicated to polymer foaming technology, adding polyolefin products (such as POP elastomers and POP plastomers) and thermoplastic engineering plastics (such as LEXAN polycarbonate, modified PPE (NORYL ™) and PEI (ULTEM ™)
China’s nano aerogel insulation material manufacturer escorted the advanced insulation material for Aerospace industry rocket gas pipeline system Hay:F03ZHKG
Covestro’s new Texin series thermoplastic TPU works closely with Water Right to maximize garden watering hoses
Shuangjie Electric raises 280 million to accelerate the progress of 200 million square meters of wet diaphragm project
Japan’s small and medium-sized panel giant Japan Display Inc (JDI) will launch flexible liquid crystal panel products using resin films in 2019 to combat Samsung Electronics’ OLED panels
LANXESS launches highly enhanced PA66 Durethan AKV50H2.0 for Mercedes-Benz Actros heavy truck air filter brackets
Formosa Plastic Group to invest $ 15 billion in US plant
Koch Industries announces plans to divest INVISTA chemical fiber business
Localization of high-end Lithium battery diaphragm replacement process accelerates
Henkel plans to launch a series of light-curing resins for SLA and DLP 3D printing
In the BMW 7 Series, Hexion`s Epikote resin is used in several carbon fiber reinforced composite materials (CFRP).
CREE launches a new generation of ultra-high-power XHP50.2 LEDs, improving light efficiency by 10%
DSM launches new high-temperature-resistant polyamide products ForTii Ace JTX8 specifically designed for automotive electronic connectors
GE Additive and GE Capital sell metal 3D printers and provide equipment financing solutions
Thermo Fisher Scientific China’s Biological Product Development Lab officially unveiled
China Leading Manufacturer of Cardiovascular and spinal minimally invasive medical devices,Vertebral balloon dilatation,Primom Spinal Fixation System,Kyphoplasty System,Vertebral Osteotome Hay:P01SHKL
China Maoming Petrochemical puts into production polyethylene for flexible sheath-core fibers
China Leading Manufacturer and Supplier of Tuning Fork, SMD Quartz Crystal, Thermistor Quartz Crystal
China Leading Manufacturer and Supplier of Motion control systems, servo drives, linear motors, machine vision, industrial robots Hay:R02SZZW
Clariant launches a high-performance specialty resin based on aliphatic polyketone colored compounds and concentrated masterbatches
China Micro Precision Components Manufacturer ACC plan to Invest 12.8 Billion in 3D Glass
Net Composites, KS composite, and Riversimple have jointly developed a carbon / linen hybrid micro sandwich panel for Rasa hydrogen fuel cell vehicles
AkzoNobel explicitly rejects PPG’s takeover offer
Japan finds element 113 Nihonium
Global high-performance fiber leader Ahlstrom and Swedish specialty paper group Munksjo Paper AB have merged to become one of the world’s largest specialty paper companies
Refractories for Steel Ladle: Refractory Mortar MgO-C Bricks, Al2O3-MgO-C and MgO-Al2O3-C Brick, Alumina Magnesia Spinel Bricks, MgO-CaO-C Bricks, Porous Purging Plugs, Inner & Collector Nozzles leading manufacturer and supplier Hay : F03HNPN
PPG completes acquisition of remaining equity in IVC Asia joint venture
Hangzhou Fufilm New Material Technology intends to IPO on the Shanghai Stock Exchange and invest in projects such as PVDF films for backplanes of photovoltaic modules
Global leading cobalt-based superalloys manufacturer and supplier Hay:A04USAT
Eastman introduces breakthrough engineering bioplastics, Eastman TRēVATM, a high-performance and sustainable cellulose-based material
Japan’s Kuraray resin products increase prices from June
Dow Corning DA-6650 chip adhesive strives to increase production and reliability of high-sensitivity MEMS sensors
Chinese special ceramic fiber technology company achieves the first mass production of second-generation continuous silicon carbide fiberHay:B01NBZX
Chinese high-generation LCD glass substrate manufacturer signs a memorandum of cooperation with U.S. glass substrate leader Corning to jointly build production lines
Schaeffler’s bearing steel supplier Hay:A02HGSG
Germany Westdeutsche Farben GmbH waterborne coatings enters China with Artisan, a technology developer of smart coating equipment in China
Axalta showcases Imron high-performance commercial vehicle coatings at China Bus Expo 2017
The Damascus copper interconnect electroplating additive developed by China’s leading wafer plating and wafer cleaning material manufacturer has reached the international advanced level Hay:I03SHXY
Saint-Gobain High Performance High Temperature Isolation Polymer Film CHEMFILM® ECTFE
China’s power battery cathode material manufacturer DS Tech, its lithium battery lithium-rich manganese-based anode material has won national patents Hay:N02DSKJ
Chinese company introduces Corning’s flexible glass composite decorative material lamination technology and required Corning® Willow® flexible glass to produce flexible glass composite laminates for interior decoration Hay:K01RJJT
China Leading Manufacturer and Supplier for Truck disc brake pads, drum brake pads, brake shoe assemblies, pneumatic disc brakes Hay:Q02HBFL
Chinese PCB electronic ink manufacturer Oriental Materials successfully IPO
China Leading Manufacturer and Supplier of Ultra-high purity electronic plating liquid and electronic cleaning chemicals Hay:I03SHXY
BASF announces force majeure on compostable bioflex plastics ecoflex® and ecovio®
Solvay acquires European Carbon Fiber GmbH, a manufacturer of large tow carbon fiber precursors
China Leading Manufacturer and Supplier of Silicon Carbide Ceramics, Bulletproof Ceramics, Silicon Carbide Powders, Silicon Carbide Roller Rods, Silicon Carbide Desulfurization Nozzles Hay:B01SDJH
Dow Chemical unveils full range of green solutions at China International Coatings Show 2017
China’s key technology for mass production of large-size phosphate laser neodymium glass won the award Hay: B04ZKSH
Johnson Controls and Toshiba launch low-voltage lithium-ion battery solution with high efficiency and low cost
China Top Manufacturer and Supplier for High Performance Special Rubber Adhesive Tape and Cold Shrink Tubing IPO Hay:F04SZKC
Chinese special glass manufacturer starts production of self-cleaning glass and anti-reflection glass production line Hay:B04YPBL
ELIMINATOR® bridge deck waterproofing system is applied to the bridge structure of Hong Kong-Zhuhai-Macao Bridge
Application and industrialization of electromagnetic radiation control material technology for Near Field Communication Hay:F05CDCJ
China has developed a 1,000-ton para-aramid reactor, which ensures the full localization of para-aramid technology and products in China and realizes industrial application
Chinese medical device technology company successfully developed
Smith & Nephew, a global medical technology company in orthopedic joint reconstruction, advanced wound management, sports medicine and trauma in China
China Leading Manufacturer and Supplier for Heat-shrinkable material & products: heat-shrinkable power cable connection products, heat-shrinkable sleeves, steel pipeline anticorrosive materials Hay:F03ZKYH
Glass fiber composite wall Vacuum insulation panel manufacturer Hay:K06FJST
China Leading Manufacturer and Supplier of Rare-metals-based Advanced Materials:Zinc selenide,Germanium,Gallium Arsenide,Rotary Targets,Cadmium Telluride,Gallium, Indium,Bismuth, Cadmium products Hay:A01GDXD
Siemens Medical Systems Ltd. Healthineers in China
Constellium, A global leading company for designing and manufacturing innovative and high value-added aluminium products and solutions :Celebrates 50th Anniversary of Technology Center C-TEC
How to improve graphene-based electro-adsorption electrode materials
4M carbon fiber company uses carbon oxidation technology to produce carbon fiber
Global Leading High Purity Brazing Alloys, Solder Alloys, Solder Preforms, Wire, Strip, Sheet, Ribbon, Frames, Precious Metals, Corrosion Resistance Silver Electrical Contact Alloys for Electronic Packaging Manufacturer and Supplier Hay:I04USMA
Chinese engine and chassis system manufacturer BMTS Technology acquires Bosch Mahler Turbo Systems Co., Ltd.
Huntsman acquires DEEMILEC, manufacturer of spray polyurethane foam (SPF) insulation systems, for $ 350 million
American APS (Akron): Join hands with China company Xinlun Technology to focus on flexible display polyimide film
Evonik plans to build a new polyamide 12 integrated production facility in Mar, Germany, increasing total production capacity by more than 50%
Dow’s Performance Silicones Division will debut at CHINAPLAS 2018, launching unique new muffler additives for automotive interiors
进口铝-碳化硅金属基复合材料 Global leading aluminum-silicon-carbide composites metal matrix composites (MMCs) manufacturer and supplier Hay:D04USMA
Dow Packaging and Specialty Plastics Introduces ROBOND PS-7735 Acrylic Pressure Sensitive Adhesive for Label Applications
Advanced Sensor Technologies Inc. (ASTi) will use Solvay’s Ryton® polyphenylene sulfide (PPS) for two industrial sensor housings
Celanese Introduces Hostaform® XLE POM and Celstran® XLE LFRT
Solvay’s lightweight and compatible with various sterilization methods of Radel® polyphenylsulfone (PPSU) help SMAC’s dental scaler design more ergonomic
Solvay introduces new Technyl Red J turbocharger materials for electric vehicles
Carbon fiber prepreg used in ultra-light aircraft design
Features and advantages of graphite powder
Johnson & Johnson acquires DePuy Synthes, a leading global medical device company Approved by U.S. authorities
BASF launches PremAir® BLD ozone catalytic purification technology to improve indoor air quality
Cabot power battery new energy materials: conductive carbon black, superconducting carbon black, graphene, fumed silica and alumina at the 13th China International Battery Technology Exhibition
DuPont Personal Protection launches DuPont Tychem gloves
Stryker intends to acquire Boston Scientific, a medical device giant with a market value of over $ 110 billion will be born
Germany Fraunhofer Applied Polymer Research, IAP launches new process for melt spinning of PAN-based carbon fiber precursors
Lam Research Corporation announced the launch of a new Atomic Layer Deposition (ALD) process for depositing low-fluorine-filled tungsten films
China’s first large-length Subsea Umbilical Cables successfully delivered to customers Hay:F04NBDF
A breakthrough has been made in the key technologies for the preparation of high-strength and high-conductivity copper alloys in China Hay:A03BJYY
Russia’s new technology increases the radar wave absorption rate of military aircraft windows by 1 times and enhances stealth capabilities
Dow Silicone Innovation: Redefining Consumer Electronics Cooling
AREVO launches 3D printed carbon fiber frame electric bicycle eBike
The world’s first liquid metal printer production line is delivered in Xuanwei, Yunnan
Nichia Corporation plans to invest 16 billion yen to build a plant to increase production capacity of LED applications
BASF’s light stabilizers help Chinese nonwovens manufacturers increase productivity
Trinseo S.A. Celebrates 10th Anniversary of Lomax Technology at its Georgia Plant, USA, First Application with Dalton Latex Adhesive
Composites Evolution builds new prepreg production facility in the UK
China Solar Technology Co., Ltd. and Bluecar, a subsidiary of BOLLORE GROUP, collaborate to build solar electric vehicles
BASF’s Ultramid Deep Gloss special polyamide wins German Innovation Award 2018
Nylon 66 resin maker Ascend acquires Dutch engineering plastics compounder Britannia Techno Polymer (BPT)
Coveris Group divests its rigid packaging business and shifts focus to European flexible packaging
Toray Korea Battery Materials Battery Insulation Separator Production Line Starts Production
Corning announces world’s first smartphone with Gorilla Glass 6G
Ceres Power and Nissan deepen research and development of low-cost electric vehicle solid oxide fuel cell technology
MarkForged launched MarkOne, the world’s first desktop 3D printer capable of 3D printing carbon fiber composite materials
Huafeng Superfiber intends to invest more than 1.7 billion yuan to build a non-woven superfiber material project with an annual output of 50 million meters
The world’s first sub-molten salt method vanadium extraction production line invested by China’s custom-grade high-purity vanadium and high-purity powder vanadium manufacturers start to production Hay:A01HGZC
Manz Technology successfully developed and delivered the first domestic 10.5 generation panel wet process equipment
Micromeritics Instrument announces acquisition of Process Integrated Development S.L. (PID Tech & Eng)
Kangda New Materials acquires 25% stake in naked eye 3D display factory 112.5 million
China graphene technology company developed graphene “three-proof” coating technology to prevent high temperature and high humidity, salt spray corrosion and mold
GF AgieCharmilles and 3D Systems announce partnership to integrate additive manufacturing and traditional manufacturing technologies
3D Systems’ 2018 Q2 revenues reach $ 176.6 million, medical solutions grow 26%
Zirconium alloy with “NMR compatibility” will be more suitable as an orthopedic and dental implant material in the future
China Leading lithium battery separator company invests 5 billion to expand lithium battery separator production line Hay:N02SHEJ
Air New Zealand partners with Zenith Tecnica, a 3D printing technology company in New Zealand, to 3D print Aircraft interior,aircraft parts and tools
Chinese precision optical lens maker Asia Optical is confident in the mobile phone lens market, betting on glass plus plastic lenses
Common forging defects of titanium alloys and preventive measures
adding extra long carbon nanotubes to Improve the properties of Kevlar reinforced composites
China graphene LED street light, graphene “super pole light” manufacturer Hay:F06DXGD
Application of low-dimensional carbon nanomaterials in negative electrodes of lithium ion batteries
The world’s first 7nm chip developed by Hangzhou Enterprise is successfully mass-produced and will be used in the Avalon blockchain supercomputer A9
China Manufacturer for Bonded rare earth materials such as neodymium iron boron magnets, hot-pressed neodymium iron boron magnets, samarium cobalt magnets, Invests 300 million R & D and production bases for rare earth materials Hay:A06YHCT
Seoul Semiconductor subsidiary SEOUL VIOSYS launches new UV LED UV WICOP
BMW applies metal 3D printed roof brackets in batches, wins Altair Enlighten award
Goodyear to study silica particles in space
Groundbreaking 3D-printed medical device could benefit patients with spinal-cord injuries
SIKA acquires Polypag, Switzerland’s leading manufacturer of polyurethane foam composites
German Fraunhofer CSP develops intelligent filtration system to detect plastic particles in water
China’s special metal powder manufacturer 1 billion yuan non-ferrous metal powder material industrialization project lays foundation Hay:A05BJYY
Global healthcare company Abbott Laboratories in China
LANXESS high-performance materials offer new solutions for drones: lightweight materials enable longer flight times
Sila Nano technologies completes $ 70 million financing to develop silicon-based lithium batteries
Siemens collaborates with Ubitricity to turn London street lights into charging poles
University of Science and Technology of China has prepared an electroreduction carbon dioxide catalyst with an efficiency of 93%
NASA allocates $ 127,000 for bionic 3D printing research
Swedish Grangers terminates plans to establish aluminum joint venture with Mitsubishi Aluminum in the U.S.
Yutian Chemical exhibited environmentally friendly polyurethane resins and inks at the 2018 China International Polyurethane Exhibition
Honda uses liquid-cooled cooling system for Clarity PHEV battery pack for the first time
Stratasys launches more economical carbon fiber composite 3D printing device
Materialise, Belgium 3D Printing Solution Innovators Launches Strategic Cooperation with Chinese Enterprises
Axalta enters glass coatings market with Eleglas, a decorative coatings portfolio
Armacell, a global leader in flexible insulation technology and market, has announced the acquisition of Guarto, an Italian manufacturer of sound insulation solutions, to strengthen its acoustic business capabilities
BASF: Innovative Polyurethane Back Foam Elastoflex for Lightweight, Slim Dashboards
China Integrated Circuit Circuit Quartz Mask Substrate,Mask Blank Project Signed
Mitsui Chemicals increases capacity of high-performance elastomer Tafmer polyolefin plasticizers and elastomers (POEs / POPs)
Chinese Manufacturer and Supplier`s”Overflow Lithium Aluminum Silicon Composite Reinforced Cover Glass Technology and Industrialization” project passed the scientific and technological achievements appraisal of Chinese Institute of Electronics Hay:B04CHJT
China launches new fuel cell cathode catalyst
Chinese sapphire crystal material and sapphire product maker CQAR’s revenue rises 34.65% in the first half of 2018 Hay:B06CQOR
Covestro launches continuous fiber-reinforced thermoplastic composite called “Maezio”
Automotive specialty coatings market to reach $ 3.75 billion by 2025
Additive manufacturing of CalRAM, a subsidiary of specialty metal alloy powder maker CarRAM, receives Aerospace Standard 9100 Revision D certification
Chinese 3D metal printing additive manufacturing company completes laser melting 3D printing of spherical tantalum metal powder Hay:H01HBDZ
Voith wins 2018 lightweight construction award for VRA technology for new carbon fiber composites
China Differential para-aramid, polyparaphenylene paraphenylene diamine project Hay:D01THFL
China fumed silica project for colloidal batteries put into production Hay:B04JBSK
Carbon Fibre Thermoplastic Replaces Aluminium in Oil Control Valve
A total investment of 500 million US dollars, and a 30,000-ton lithium-ion battery cathode material project was signed
NextGenAM builds Industry 4.0 version of 3D printing production line to efficiently produce aluminum parts used in the automotive and aerospace industries
AVIC High-Tech Aluminium-Magnesium Alloy Casting Technology Center Established Hay:T02HTKG
China’s 120 Million Etched Lead Frame Project Starts Hay:I04AHLD
Japan develops new polymer material to halve vehicle weight
Evonik introduces new membranes for efficient natural gas processing, SEPURAN NG for efficient natural gas processing
Covestro debuts at China International Composite Materials Industry Technology Exhibition
Robert Bosch acquires 4% stake in Ceres Power, UK, develops next-generation solid oxide fuel cell
China’s high-end aluminum sheet, aluminum alloy curtain wall panel manufacturer with an annual output of 300,000 tons of high-grade color-coated curtain wall panel project rolled out Hay:K01SDWT
Covestro to invest 700 million euros in new polycarbonate or polyurethane plant
Ashland Composites Asia Pacific R & D and Technical Service Center opens in Shanghai
Chinese lithium battery material maker will build a lithium carbonate plant with an annual output of 20,000 tons, expected to start production in 2020
Magna develops recyclable thermoplastic composite automotive parts
Advanced health and hygiene company RayVioCorp drives shortwave UV LED innovation with new XD and XR series
Mansory releases new carbon fiber accessory kit for Lamborghini Aventador S
Huntsman Polyurethanes, the world’s leading manufacturer of specialty chemicals: Leads the green development of the wood-based panel industry with “formaldehyde-free” products
China Leading LED light source,semiconductor light-emitting diode manufacturer and supplier
China Manufacturer and Supplier for Industrial structure technology ceramic parts: paper machine dewatering parts, ceramic cylinder liners, foam ceramics, ceramic ball valves Hay:B03SDGY
HP launches metal-jet 3D printer, Volkswagen has begun to use it
China Leading Continuous flexible composite oil and gas pipeline Manufacturer and Supplier Hay:D02CCGX
High-precision sensor technology provider AMS active noise reduction technology innovates Linner’s ear-cushioned and over-the-ear audio applications
Wilhelmsen partners with Ivaldi to 3D print ship spare parts
China Leading Manufacturer and Supplier of Electronic grade silica fine powder, spherical alumina fine powder, submicron spherical silica fine powder Hay:B04JSLR
Eastman continues to invest globally in Saflex® polyvinyl butyral PVB acoustic interlayers for automotive and architectural laminated glass
Demilec’s Heatlok HFO closed-cell foam Thermal insulation material:Finalists for Polyurethane Innovation Awards 2018
Osram Opto Semiconductors Helps Gome Phones Realize Iris Recognition at the Highest Security Level
British composites company Scott Bader and Renuables study how best to dispose of or recycle waste fiber-reinforced composites (FRP)
Airbus and AMSilk jointly develop spider silk fiber technology
Water-based nano-based heavy-duty anticorrosive coating for chemical industry
Cardinal Health, a multinational group of health nutrition products, health food research, production and medical health in China
Milliken announces high-level personnel changes Halsey Cook takes over as President and CEO from September 1
New aviation aluminum alloy materials for large aircraft in China have been granted invention patents in many countries around the world Hay:A03ZLXN
Evonik: a bisphenol A-free transparent material Microcrystalline polyamide TROGAMID®CX 7323 approved by the FDA and EFSA for food contact
Jiangsu Jintan Penghui lithium-ion power battery and system project started
Toy giant Lego leads investment in Stratasys subsidiary Evolve Additive Solutions (EAS), electrophotographic 3D printing technology promises PK injection molding
SGL Group and Fraunhofer IGCV Institute jointly established a fiber placement technology research and development center
Dow Chemical announces investment in silicone resin plant in China
Australian 3D printer maker Aurora Labs has announced new breakthroughs in large-format 3D printing complex parts technology
Huntsman to increase polyurethane catalyst capacity in Petfurdo, Hungary
X-rays reveal hidden characteristics that cause lithium-ion battery materials to fail
Polyoptics, Kleve, and KIMW-F work together to develop an alternative production process for thin-walled optical components, named “CRoCoMold Project”
China`s another high performance NdFeB permanent magnet material, rare earth permanent magnet material manufacturer IPO, UAES, Mitsubishi Electric supplier Hay:F05JXJL
Allite launches revolutionary super magnesium alloy, lighter than aluminum and cheaper than carbon fiber
Chinese special stainless steel manufacturers have made important progress in the development and application of stainless steel reinforcement for marine building structures Hay:A02ZYJZ
Medtronic, the world’s largest medical device manufacturer, acquires Mazor “revival robot” for $ 1.64 billion
Optomec, a company that manufactures metal additive systems, says that soluble metal components made by metal additives automatically dissect surgical implants
Chinese electronics chemicals manufacturer acquires BASF’s electrolyte business in Europe and the United States
Continental AG and Knorr-Bremse jointly develop commercial vehicle autonomous driving technology
RAMPF’s highly thermally potting polyurethane material from Germany’s RAMPF helps the development of new energy vehicles
China Iron and Steel Alliance Baowu Iron & Steel may merge with Maanshan Iron and Steel to compete with ArcelorMittal
Tohoku University: MoSiBTiC alloy capable of withstands ultra-high temperature and pressure
Chinese power lithium battery material maker signs a five-year agreement with BMW to supply lithium materials to it
China Leading Manufacturer and Supplier of food grade and feed grade antioxidant additives
Stoner Corporation of the United States acquires Meguiar’s release agent products and sells Micron’s M0811V2 mold release wax, high temperature mold release wax M8711 and full effect mold release wax M8811 under its new Miracle Gloss brand.
Hexcel officially opens new yarn and carbon fiber production line in France
ROMIRA GmbH’s Romiloy / Rotec and Luranyl compounds and ASA / PC specialty compounds stand out in automotive weathering applications
Chongqing will promote new technology of thermal insulation and sound insulation: polyester fiber composite coil, which greatly reduces impact noise, and can also maintain a stable indoor temperature and reduce building energy consumption
Another photovoltaic photovoltaic glass, high-end electronics and photovoltaic ultra-white glass production line in China successfully ignited
Rogers introduces ARLON® flame retardant self-melting silicon insulating tape, Only one layer is needed to meet the insulation needs of demanding applications
Ilika technologies, Honda R & D Europe collaborate on PowerDrive Line project to develop solid-state lithium batteries for ultra-fast charging
Ford partners with Eagle Industries and XG Sciences to reduce engine noise with graphene materials
Covestro develops a bio-based film-forming polyurethane PU dispersion for hair styling products Baycusan eco E 1000
Phase II of Foam Nickel and Nickel Plated Steel Strip for New Energy Vehicles commenced Hay:A05HNLY
NASA supersonic engine with 3D printed ceramic shield and accumulator
Novelis, the world’s leading aluminum rolled product manufacturer, laid the foundation for the second phase of its aluminum expansion project for automobiles
Techsil has developed a new transparent epoxy adhesive, EP25880 Clear, which cures quickly and forms a strong, tough, and durable bond on carbon fiber composite parts.
Rogers introduces two ultra-high molecular weight polyethylene (hereinafter referred to as UHMW-PE) film materials with UV resistance DeWAL® DW402UV and DW405BNCUV to meet the requirements of severe outdoor applications
British VICtrex launches new extended food grade high performance polymer PEEK food grade portfolio
Huntsman Polyurethanes ‘3D bonding technology using Simplicity Works’ 3D mold design will disrupt traditional shoemaking
Solvay’s Ryton® PPS is applied to flexible, lightweight coolant lines, brackets, and connectors to optimize complex automotive thermal management assembly systems
Dutch battery maker Lithium Werks BV is preparing to invest in a large lithium-ion battery plant in China
Antitrust concerns caused by BASF’s reduced acquisition of Solvay’s nylon business
Medical device company Zimmer Biomet in China
China’s special glass manufacturer’s high-aluminum-silicon cover glass production line, G7.5 cover glass intelligent factory project successfully ignited and put into production Hay:B04CHJT
Chinese Neodymium Iron Boron (NdFeB) permanent magnet manufacturer signs purchase intent with Arafura Resources Limited Hay:F05JCGF
Chinese researchers build new silica nanotube aerogel
The global supply and demand of composite materials is basically balanced. The largest application market for carbon fiber composite materials in the aerospace field
Chinese 0.12mm ultra-thin electronic information display glass manufacturer wins silicate technology innovation leader award from the American Ceramic Society Hay:B04ZCBB
Transformation of mold manufacturing industry accelerates, 3D printed gear molds become hot
NextSource signs 10-year cooperation agreement with Japan Graphite Trading Co. to supply anode materials Molo SuperFlake® graphite concentrate, battery anode for electric vehicles
Graphene acetylene “separator layer makes lithium metal batteries safer
Multifunctional carbon fiber material is used for the body, as a structural material, it can also be used as an electrode
Arsenal Capital Partners acquires specialty polymer company Polytek from Morgenthaler
Chinese high-purity graphite and synthetic diamond material manufacturer cooperates with the Institute of Superhard Materials of the National Academy of Sciences of Ukraine to establish a key joint laboratory for carbon crystals, focusing on large single
LG Chemical Power Battery Project with Total Investment of USD 2 Billion Starts in Jiangning
Hyundai Motor Group develops wearable exoskeleton industrial robot to improve productivity and reduce injury rates
Chinese alumina, electrolytic aluminum, high-precision aluminum profile / aluminum foil manufacturer builds 1 million tons of alumina project in Indonesia
Rubberlite launches new water-based PU functional coating SUR-fex SF200
Chinese magnesium-aluminum alloy precision automotive parts manufacturer launches high-strength lightweight shock-resistant magnesium alloy car seat frame Hay:T02CQBA
Mitsubishi Electric introduces dot matrix molding technology for high-precision metal 3D printing
Chinese aviation composites manufacturer and Italian LEONARDO join hands to create an aviation composites industry platform to help China’s large aircraft strategy Hay:D02BJKD
Breaking the restriction of flexible folding, Nano silver wire technology becomes the mainstream of the touch industry in the future
Silicon alloy precision structural parts supplier Hay:A03JSHR
Mitsubishi Electric Corporation Announces Development of a Dot Forming Direct Energy Deposition (DED) Precision Metal 3D Printing Technology
XenomatiX, the pioneer of solid-state lidar (LiDAR) solutions for the automotive industry, demonstrated its next-generation automotive LiDAR solution, a true all-solid-state LiDAR XenoLidar
Chinese leading aluminum matrix boron carbide material manufacturer introduced 36MN aluminum matrix ceramic powder composite extruder Hay:D04AHYL
Electriq ~ Global launches water-based fuel to double EV life
ASML and IMEC jointly develop second-generation EUV lithography machine
The quality of China’s electronic grade high-purity polysilicon materials has reached the international advanced level
Murata adds “high-current” and “quasi-heat-resistant” coin-type lithium batteries
Kraiburg TPE develops a chemical and high temperature resistant thermoplastic elastomer
Proton Motor installs hydrogen fuel cell as backup energy source at Deutsche Bahn train station control center
Swedish electric car company Uniti announces plans to establish first fully digital electric car “pilot production plant” in Silverstone Park, UK
Clariant’s CFO says its high-performance materials business with SABIC will significantly increase profits
New Understanding of Amorphous Alloy Flow / Metal-Glass Shear Band
HEATCON Composite Maintenance System and Solville Group Collaborate to Expand Composite Product Line
A new generation of functional materials! Composite film produced by combining natural silk with nanotubes
China discovers world’s largest high-quality wollastonite deposit
GE Additives has made significant progress in 3D printing LEAP engine fuel nozzles, and engines have made 3D printed bracket installations for GEnx commercial aviation engines
Nikola Motor Company releases Tre fuel truck
SKC solmics invested USD 50 million in China to build and produce quartz boat, quartz diffusion tube and other products for semiconductor etching and diffusion projects
Evonik buys PeroxyChem, a producer of hydrogen peroxide and peracetic acid, for $ 625 million
Littelfuse completes acquisition of silicon carbide diode and MOSFET developer Monolith
Wafer cutting blade electroplated diamond wire, lithium ion battery anode material manufacturer 7 billion yuan acquisition of ultra-high power graphite electrode manufacturer Hay:B06HNYC
Teijin acquires automotive and heavy truck composite parts supplier Inapal Plasticos to expand European automotive business
Veeco Instruments Inc and ALLOS Semiconductors GmbH are committed to providing industry-leading GaN-on-silicon epitaxial wafer product technology for microLED production applications
China ’s gallium arsenide (GaAs) technology achieves another major breakthrough: GaAs thin film single-junction cell conversion efficiency reaches 29.1%, setting a new world record again
Covestro showcases medical solutions at CompamedMedica trade show, from wound care to wearables
Germany opens thermoplastic composites research institute IRG CosiMo
Tesla to supply batteries for 25MW / 50MWh energy storage system in Victoria, Australia
Targeting next-generation automotive parts and materials, Toyota Gosei establishes venture capital department
BASF subsidiary (B3DPS) exhibits a series of new products of photopolymerization molding and laser sintering 3D printing technology in Formnext, Germany
Chinese power lithium battery manufacturer signed a contract for a 20GWh ternary soft pack battery project with a total investment of 10.8 billion!
Colossus may be the largest 3D printer at Formnext 2018 in Frankfurt, Germany, but Nexa3D NXE400 may be the fastest
Formosa Plastics Group released the first ESS energy storage system based on lithium iron phosphate battery, equipped with the battery management system BMS and energy management system L-EMS independently developed by Guoxuan Hi-Tech
Tesla is improving cell design to achieve $ 100 per kWh battery pack
Volkswagen Group has selected South Korean battery manufacturer SK Innovation (SKI) to supply batteries for electric vehicles based on the modular electric toolkit (MEB) platform
Shandong Ji Steel’s limestone production desulfurizer and calcium carbonate project is under construction!
GE subsidiary Concept Laser’s M LINE FACTORY 3D printing system enables mass production of metal 3D printing
Swiss battery maker Ecovolta launches standardized lithium-ion power battery
China 3D Printing Equipment Technology Co., Ltd. released the high-performance dual-nozzle FDM 3D printer FUNMAT PRO 410 with a nozzle temperature of up to 450 ° C at FORMNEXT 2018 in Frankfurt, Germany.
New SLS 3D printing and post-processing system from Swiss machine maker Sintratec
Ashland sells composites and BDO business to INEOS for $ 1.1 billion
China leading Molybdenum metal products: spherical molybdenum powder, plasma spheroidized molybdenum powder manufacturer and supplier Hay:A01JDMY
China Specialty Nylon Industry Forms Innovation Alliance Hay:C02SDGY
China’s advanced composite material manufacturer invests 330 million yuan in new basalt pool kiln continuous fiber production line Hay:D01SCQY
Using five-axis ultra-fast laser, controllable processing of difficult-to-process materials such as Zirconia Ceramics
Advanced ceramic fiber, carbon aerogel and other appearances at the 2018 World New Materials Innovation and Entrepreneurship Competition
EOS helps build “Realistic Iron Man” wearable aircraft parts
International high-end aluminum products manufacturers focus on developing automotive aluminum in recent years
Application value of nano platinum Hay:H02ZSAK
Important Progress Made in China’s “Research and Construction of Demonstration Bases for Key Technologies for Processing High-Performance Alloys and Micro-Fine Materials”
ESA and Lithoz simulate lunar dust 3D printed into screws and gears
Lumileds and Melexis drive high-power infrared LEDs
Hunan University makes progress in heat treatment of magnesium alloys
GORE mobile phone waterproof technology: expanded polytetrafluoroethylene (ePTFE) material
3D printed power rocket engine, Rocket Lab raises $ 140 million in Series E funding
China develops high-efficiency sodium-based dual-ion battery based on porous microcrystalline carbon anode
China advanced lines oxide TFT (thin film transistor) electronic paper project signed Hay:D10HKYN
Silicon nitride biomaterials, $ 2.5 billion artificial joint market
Evonik invests $ 50 million in expansion of Air Products’ functional materials plant
China’s carbon fiber reinforced materials are used for airport terminal reinforcement for the first time
Fiberglass helps China build the world’s largest 3D printed plastic footbridge
Dow Chemical to open more polyurethane compound plants in the Middle East and North Africa
Daimler, ThyssenKrupp System Engineering, IAV, Germany and Fraunhofer IKTS are working together on a project called EMBATT-goes -FAB project, which aims to develop lithium-ion-based electric vehicle bipolar batteries
Zoom within 30 microns, rare earth permanent magnet material for high precision motor
Stratasys and Materialise Mimics inPrint software to provide hospitals with 3D printed medical model solutions
Amazon launches AWS Inferentia chip for AI deployment
China’s high-performance nanoscale lithium iron phosphate green large-scale preparation process achieves a breakthrough
BASF designs new battery formula designed to reduce cobalt usage
Application of WACKER`ACEO silicone materials and 3D printing technology in German railway system
Ao Optoelectronics signs 10 projects including third-generation semiconductor aluminum nitride wafers in Yuhang District, Hangzhou
Teknor Apex: Medical TPE material for peristaltic pumps and cryogenic biopharmaceutical tubes, replacing silicone
BASF designs new battery formula to reduce cobalt metal usage
Idemitsu Kosan to build OLED material plant in China
China’s carbon fiber high-temperature graphitization production line is favored by international companies, and carbon fiber processing technology is reaffirmed
New nanowire catalysts expected to cut fuel cell prices significantly
Formlabs launches castable wax resin for jewelry 3D printing
IBM研究院任常锐在“2018首届全球物联网产业大会”的演讲
Manganese-based cathode material is expected to replace sodium ion battery cathode material
New lightweight and high-strength cast aluminum alloy materials-some outstanding technical achievements in China’s advanced materials field
China Top Automotive Armrests, Headrest, Headrest Rods Manufacturer & Suppliers
China Manufacturer and supplier for FRP pipe, LFTD thermoplastic composite pallet Hay:D02HRJT
Application of modified plastics in automotive lights systems
LANXESS to invest 200 million euros to increase flame retardant capacity
High-manganese steel component laser cladding strengthening and life extension technology achievement appraisal meeting held in Beijing
Carbon fiber composites production solution provider Yonghong releases high-efficiency carbon fiber composites regeneration system
Freudenberg-NOK develops new silicon-based materials that meet China’s flammability regulations for electric vehicles
China develops new fusion reactor cladding material, vanadium alloy as new material for fusion reactor cladding
Freudenberg forms joint venture with Chinese air and liquid filter material manufacturer Hay:F07FSAB
China leading water atomized copper powder for 3D printing, spherical copper and copper alloy powder manufacturer and supplier Hay:A03AHXJ
China has made important progress in the research of cathode materials for high specific energy lithium / sodium metal batteries
Application of FOT-L optical fiber temperature sensor in biomedical field
Stratasys and Materialise collaborate to create more advanced 3D printed medical models
China leading manufacturer and supplier of Butterfly valve, ball valve, gate valve, globe valve, check valve, regulating valve, special special valve Hay:U05JSST
Hydrogen, carbon fiber composites and other industries bring huge changes to the automotive industry
Mitsubishi Corporation acquires 25% stake in ELG Carbon Fibers
Chinese carbon fiber composite manufacturer’s chopped carbon fiber prepreg CMP production line put into operation Hay:D02WXAD
Evonik’s polyamide PA12, a high-performance polymer, is included in federal pipeline safety regulations, VESTAMID NRG PA12 new opportunities in Oil and gas pipeline industry
China 3D printed pure titanium powder, spherical titanium alloy powder manufacturer provides commercial pure titanium powder for Titomic world’s largest metal 3D printer Hay:H01SXFX
Chinese polymer company successfully produces new transparent polypropylene product M26ETW
Colorite, a Tekni-Plex company, is expanding its Cellene® thermoplastic elastomer (TPE) compounds for medical and various other applications
20 new materials with super potential in 2019: materials that never dry out NEVERDRY
Scientists use 4D printing to invent new “metamaterial” magnetic field response mechanical metamaterial (FRMM)
20 new materials with super potential in 2019: biomimetic plastics
ultralight micro-lattice material:Top 20 new materials with super potential in 2019:
Glass coatings that block sunlight:Top 20 new materials with super potential in 2019
Chinese high-performance fiber composites manufacturer launches flame retardant prepreg for rail transportation Hay:D02CDLC
Jiangsu Advanced Materials Technology Innovation Center starts construction in Suzhou
Total Composite Solutions (TCS) and Brabham Automotive announce strategic partnership, Brabham BT62 provides carbon fiber materials and processes
China National Building Materials Engineering Group signs contract for rolled glass of Cairo Glass, Egypt
Leading cylindrical battery company invested 1.8 billion yuan to build a high-energy density square battery project
Australian Zone RV company builds high-end all-carbon-fiber RV with carbon fiber
The world’s largest single OVD optical fiber preform production project is completed and put into operation
Kobe Steel plans to invest 42 million U.S. dollars to expand auto parts factory to produce aluminum bumpers and subframe materials
Chinese Spandex, Meta-Aramid, Para-Aramid Manufacturer’s “Production Method of High-Strength and High-Mode Meta-Aramid Ultra-Short Fiber” Won Golden Patent of Chinese Textile Industry Hay:D01YTTH
3D ProMetal optimizes next-generation medical components with 3DXpert additive manufacturing software
High-tech medical device leader Stryker Corporation in China
China Leading Manufacturer and Supplier for Extra high strength ultra-fine steel cord and steel wire rope Hay:A05SDHQ
China’s high-purity crystalline silicon, solar cell manufacturer produces 50,000 tons of high-purity crystalline silicon
Chinese glass fiber yarn manufacturer’s pyrophyllite powder project put into operation Hay:D01CQGJ
Daimler subsidiary NuCellSys GmbH changed to Mercedes-Benz Fuel Cell Company
Hexion Thermoset Automotive Rapid Prototyping Technology Maintains Fiber Structural Integrity
China-developed SiC-reinforced aluminum-based composite, which helps Chang’e-4 probe land on the back of the moon
The diamond heat expansion plate developed by China is successfully applied to Beidou GPS satellites
Chinese superhard materials, superhard composites, artificial diamonds, diamond wire saws, diamond powder manufacturers will invest 1 billion in new diamond wire production lines Hay:B06HHXF
China Leading Manufacturer and Supplier for Metal Soft Magnetic Powder,3D Metal Powder,Microelectronic welding materials and functional superalloy powder materials Hay:A05BJKP
China Leading Manufacturer and Supplier of AC permanent magnet synchronous servo motor, servo driver Hay:U01NBFS
China’s hydraulic brake system and light alloy material product manufacturer’s high-strength and toughness aluminum-magnesium alloy chassis lightweight project put into production Hay:T02HBHT
Blackwater to raise $ 500 million to invest in automotive battery metal materials
A number of breakthroughs in high nickel 811 battery 300Wh / kg energy density, Silicon carbon anode material introduces acceleration
Technavio’s latest market report, the global thin film solar photovoltaic module market is expected to grow at a CAGR of more than 16% during 2018-2022
Chinese microcellular foam ceramic material manufacturer successfully developed new high performance Soundproof, dampproof and fireproof foam ceramic materials Hay:B03CQLS
Special materials company Celanese completes acquisition of Next Polymers Ltd., one of India’s largest producers of engineering thermoplastics (ETP)
Granulation method of silicon nitride ceramic powder
China leading PE Perforated film, 3D aperture Non-woven, Hot Air Through Non-woven , ADL surge materials manufacturer and supplier Hay:D01XMYJ
Mazda Motor Corporation has partnered with Japan’s Nippon Steel & Sumitomo Metal Corporation and JFE Steel Corporation to develop the world’s first cooling system using 1,310 MPa high-strength steel. Stamping automotive parts
3D printed sponge can absorb 64% excess chemotherapy drugs, reducing side effects
Trinseo (TSE), a global provider of plastics, latex adhesives and synthetic rubber materials solutions, announced that its EMERGE ™ advanced resins will be used in Currant Smart Outlet smart home products made by consumer electronics company Currant
Hexcel unveils two composite structural components at North American Auto Show to increase strength and reduce weight
The doors and rear wing of the new Porsche 718 Cayman GT4 Clubsport are made of natural fiber parts with linen, which is 5 times stronger than carbon fiber
Storaenso, a leading global supplier of renewable solutions for packaging, biomass materials, wooden construction and paper, invests in TreeToTextile, a company that develops new textile fiber materials
China’s high-precision photomask manufacturer AMOLED, LTPS, and other high-precision mask projects laid the foundation Hay:I03SZQY
NAIAS 2019: Carbon and Ford show 3D printed Fox HVAC (Heating, Ventilation and Cooling) lever arm repair parts, Ford F-150 Raptor auxiliary plug and Ford Mustang GT500 electric parking brake bracket
Voestalpine, Europe’s largest steel technology company, will further expand its additive manufacturing business in the Asian market
China Civil Aircraft Material Industry Development Alliance was established to build civil aircraft material systems covering four major categories: metals, composite materials, functional non-metals, and standard parts
High-purity Sc/Scandium, high-purity Scandium oxide and Scandium alloys manufacturers and supplier:the world’s largest high-purity Scandium oxide R & D and production base put into operation Hay:A01ZYRM
伍德麦肯兹[Wood Mackenzie]:全球著名能源商业情报咨询公司
Evonik acquires U.S. startup Structured Polymers to expand pure black nylon market
Shawcor acquires glass fiber reinforced composite underground storage tank maker ZCL for $ 312 million
Future Market Insights predicts that the global glass fiber market size may reach $ 13.146 billion by 2028
LANXESS subsidiary CheMondis GmbH launches B2B trading platform for chemicals
China’s dry-jet wet spinning technology for preparing T1000-grade ultra-high-strength polyacrylonitrile-based carbon fibers has made important progress
PUReSmart member companies: Covestro is working with partners to study how to significantly improve the recycling of polyurethane plastics
Leading aluminum alloy powder, titanium alloy powder, high temperature alloy powder, stainless steel powder manufacturers unveiled multiple 3D printed metal powders at TCT 2019 Hay:H01BJBH
荷兰Philips飞利浦招聘流程及面试技巧
Nanofiber thermal insulation materials: Two-dimensional nanofiber membranes and three-dimensional nanofiber aerogel thermal insulation materials are promising in the aerospace field
Pharmaceutical-grade packaging and piping material manufacturer: Tekni-Plex adds a new high-precision silicone tube extrusion line to its China manufacturing facility
Chinese automaker successfully develops heat resistant rare earth magnesium alloy automotive cylinder head
Clariant’s StyroMax® UL3 catalyst boosts production capacity and efficiency of styrene units in Chinese plants
Teijin’s thermoplastic carbon fiber unidirectional prepreg passed Boeing certification and will be used to produce aircraft main structural parts
Russia develops heat-resistant high-strength alloy for aerospace
Chinese polymer and metal 3D printing manufacturer joins hands with Airbus to launch civil aviation polymer additive manufacturing R & D project
Development and future challenges of carbon fiber and composite materials for aerospace
Bombardier and SAERTEX reach agreement to produce carbon fiber fabric wings for Airbus A220 aircraft
Chinese scientists invent new liquid metal composites
LANXESS expands reverse osmosis membrane product range with new Lewabrane HP membrane element
STRATASYS unveils latest voxel-grade 3D printing solution and FDM TPU 92A elastic material at 2019 TCT
European Coatings Show 2019 | WACKER Presents Highly Hydrophobic Fumed Silica for Industrial Adhesives
Constellium becomes supplier of aluminum rolled products and structural parts for BMW X4 and X5
Famous sports brand Wilson uses basalt fiber to make badminton rackets
BASF partners with Chinese lightweight materials, PU-honeycomb panel auto parts manufacturer to push polyurethane surface car trunk floor Hay:Q05SZGL
Extremely transparent metal metamaterial
ArevoLabs: Industrial grade carbon fiber 3D printer that uses new carbon fiber and carbon nanotube (CNT) reinforced high-performance materials for 3D printing
FXI and INNOCOR merge to become one of the most innovative polyurethane foam product suppliers
China Aviation Composites Industry Market Scale and Development Trend
Chomarat Engineered Textiles to showcase woven and multiaxial carbon fiber reinforcements at JEC World 2019
High-end applications such as semiconductors, optical communications and aerospace are driving demand for high-end quartz
SCHOTT to showcase iran product design award CERAN EXCITE® at AWE 2019
The performance of 3D printed high-strength aluminum alloy powder developed by China’s high-end 3D printed metal powder manufacturer has reached the international advanced level, breaking foreign monopoly
High-performance carbon fiber composite material manufacturer (Hexcel) and chemical giant Arkema join forces to develop aerospace sector to develop thermoplastic composites
TenCate Advanced Composites officially renamed Toray Advanced Composites
Carbon nanotube powder, carbon nanotube conductive paste for Lithium battery, graphene composite conductive paste, carbon nanotube conductive master manufacturer and supplier Hay:H02JSTN
Polyscope Polymers B.V. Glass Fiber Filled Thermoplastic Composite Wins JEC Innovation Award
Chinese Academy of Sciences National Nanoscience Center and Airbus (Beijing) Engineering Technology Center signed a cooperation agreement in Beijing
High-precision magnesium die-casting production line for automotive magnesium alloy precision die-casting product manufacturer will be put into construction Hay:T02ZJWF
Elvance Renewable Sciences and Stahl collaborate to bring high-performance bio-based polyurethane coatings to market
Chinese high-end aluminum foil manufacturers actively responds to domestic demand for 80,000 tons of lithium battery aluminum foil
Rail transit may bring opportunities for carbon fiber localization
Asahi Kasei will invest 30 billion yen to increase the production capacity of lithium battery separators to increase the production capacity of the wet separator “Hipore” and the dry separator “Celgard”
Application of magnesium alloy in the field of rail transportation equipments
Prodrive Composites names aerospace expert to develop aerospace composites business
Aerospace special titanium alloy materials, high-end titanium alloy rods and wires, NbTi, Nb3Sn superconducting wires and high-temperature alloy rods manufacturer successfully IPO Hay:A04XAXB
New building materials: transparent metal-transparent ceramics, new ceramics formed by a mixture of aluminum, oxygen and nitrogen powder
Vishay Introduces Industry’s First AEC-Q200 Certified Ceramic Disc Capacitors for Class X1 / Y1 Applications
PowinEnergy purchases 1.85GWh lithium iron phosphate batteries core from CATL for energy storage systems
Chinese 3D printing equipment manufacturer and University of Louisville reach deep cooperation in metal additive manufacturing
3D printing technology will be the core technology for the production of complex aero engines
Xi’an Dan Shechtm Nobel Prize New Materials Institute unveiled,which will focus on high-strength 3D printing magnesium alloys
Wohlers Report 2019 Forecast: 3D Printing Industry’s Global Revenue Will Reach USD 35.6 Billion in 2024
Chinese leading TZM Alloy Material Manufacturer Releases Rare Earth Element Doped La-TZM New High Strength and Tough Molybdenum Alloy Hay:A04SXJD
Japanese precious metals companies aim for Chinese high-end semiconductor material demand
COTESA, a manufacturer of high-end carbon fiber composite parts in the German aerospace and automotive engineering fields invests in China
Basalt and fiber rock wool thermal insulation materials manufacturer puts into operation new type of thermal insulation materials Hay:F03CQTS
Solvay: new opportunities for high-performance polyamide HPPAs
Impossible Objects’ composite material 3D printing process (CBAM) process can 3D print composite materials such as carbon fiber, aramid (Kevlar) and glass fiber
Siemens and INTERSPECTRAL launch metal 3D printing visualization software
Solvay and Aerosint develop special high-performance polymers for 3D printing such as KetaSpire® PEEK (polyetheretherketone) and Ryton®PPS (polyphenylenesulfide)
China’s high-end ceramic and aluminum new material industry development
Mitsubishi Chemical completes acquisition of 25% stake in carbon fiber recycling specialist ELG Carbon Fibre
Anti-glare glass, alumino-silicon glass, ultra-thin glass manufacturer`s 4.5 generation line HX anti-glare glass project successfully put into production Hay:B04SZDL
Mitsubishi Chemical has developed a new type of carbon fiber prepreg, which will be mainly used for prepreg lamination and is widely used in automotive exterior parts, sports and leisure products.
Siemens and EDAG collaborate on additive manufacturing to showcase automotive lightweight aluminum use cases
China successfully produces 6-inch wrinkle-free high-quality graphene single crystal wafers at 750 ° C
Solid Power is partnering with Ford Motor Company to develop all-solid-state batteries for next-generation electric vehicles
Manufacturers of high-precision lead frame copper strips, liquid crystal display sputtering targets, bronze white copper alloy materials have successfully produced 8 micron high-precision alloy rolled copper foil Hay:A03ZLHZ
Lead-free information functional ceramic materials and devices project signed in Tongxiang
American researchers developed a new metal matrix composite material called “graphene superalloy” (graphene superalloy) by combining nickel powder and graphene flakes
High Purity Aluminum,Electrode Aluminum Foil,Precision Aluminum bars,wire,billets,rod manufacturers successfully developed ultra-pure aluminum sputtering target substrates,graphene aluminum alloy wire rods and other new products Hay:A03XJZH
SK Innovation and Volkswagen reached a strategic cooperation on lithium battery to unveil the secret layout of SK Innovation’s global lithium battery strategy
Manufacturers of TiNi, TiTa, TiAl, TiNbZr and CoCrMo spherical metal powders launch metallic 3D printed spherical tungsten powders Hay:H01XASL
Ineos Group to build new thermoplastic composites plant in response to growing demand for the company’s StyLight product line
High-purity alumina and silicon carbide manufacturers build functional alumina ceramic substrates and aerogel production lines Hay:B01YZZT
Japan’s Mitsubishi Heavy Industries launches commercial metal 3D printer using directional energy deposition technology
China’s high-performance para-aramid fiber material manufacturer builds a new production base with an annual output of 5,000 tons of para-aramid Hay:D01ZHGJ
Carbon fiber prepreg industry development
Cascadia Doors & Windows launches world’s first window wall with FRP window frames
Basalt and fiber rock wool thermal insulation materials manufacturer’s new insulation materials project put into production Hay:F03CQTS
Scaled Composites LLC (Mojave, California, USA) and Stratolaunch (Seattle, Washington, U.S.) collaborate to build the world’s largest dual-body carbon fiber aircraft, Stratolaunch, completes first flight
North American’s largest roofing and waterproofing material maker GAF Corporation restarts fiberglass felt factory
Italian 3D printing material maker CRP Technology introduces its high-speed sintering (HSS) Windform material The first high-speed sintering (HSS) material in the P-LINE series
Fiber winding specialist Dynexa launches output shaft made of fiber composites
China’s metamaterial technology R & D started late, but it is at the forefront of the world
Bosch Smart Gloves BIG with BASF Thermoplastic Polyurethane (TPU) material
Carbon fiber composite materials play a positive role in promoting the design of general aircraft structures
The world’s leading integrated circuit (IC) and flat panel display (FPD) photomask maker Photronics’ US $ 160 million 10.5th generation Photomask project in China is put into production
High-performance magnesium alloy strategic industry that should be highly valued
The secret of aeroengine carbon fiber blades: titanium-carbon integration: by adding a full set of titanium alloy edging to the carbon fiber blades, the “soft” carbon fiber material is used with the “hard” titanium alloy material
China carbon Fiber Technology manufacturer invested in high-end carbon fiber composite material R & D center and production base Hay:D02HBXK
Low-cost carbon fiber pioneer 4M carbon fiber company launches acquisition plan in preparation for listing
Novelis, the world’s leading aluminum rolling material, joins hands with Toyota to grab the Asian automotive aluminum market
China leading tungsten, molybdenum, rhenium alloy products and sputtering targets, high temperature alloy plates, rods, wires, strips manufacturer and supplier Hay:A04GYKJ
Chinese researchers have made new discoveries about the correlation between the structure and performance of PAN-based carbon fiber
UK National Composites Centre announces that Reaction Engines, a company developing supersonic propulsion systems for aircraft and spacecraft, has become a member of the Centre
3D printed breathable mould steel: Small micrometer-sized, interconnected pores by creatively introducing foaming agent to additive manufacturing
Norwegian scientists use graphene to make diodes to make cheaper, more durable fluorescent lamps
The trend of lightweight materials will drive global demand for magnesium alloy materials, 85% of which will come from China
Construction of a 1,000-ton rare earth polishing powder project with a total investment of 150 million yuan started
Covestro: Special Plastic Flame Retardant PC ABS Hybrid BayBlend® FR3040 EV Protects the Heart of Electric Vehicles-Lithium Battery
U.S. high-performance fiber and composite materials manufacturers and companies and R & D status
At Starbucks, thermoplastic carbon fiber composites make “legless tables” a reality
NextGenAM (Next-Generation Additive Manufacturing) automated metal 3D printing pilot project with a new generation of automated “additive manufacturing” process experimental line developed by Premium AEROTEC, EOS and Daimler
BASF showcases Krempel® foldable potholders with BASF’s high-performance plastic polyphenylsulfone Ultrason®P at CHINAPLAS 2019
Putting anticorrosive coats on marine installations: tall towers, ships, bridges
From wood to high performance composite materials, aircraft wing materials have undergone three “degenerations”
German textile machinery manufacturer KARL MAYER launches new thermoplastic unidirectional prepreg tape production line Efficient combination of fiber expansion and prepreg
China UHMWPE,aramid (PPTA),polyester-polypropylene blend (PET + PP),polyester (polyester PET), polyamide (nylon, nylon PA), Polypropylene (polypropylene PP),polyethylene (ethylene nylon PE) and other cable products manufacturer and supplier Hay:D01ZJSX
University of Maine and ORNL collaborate on 3D printing of bio-based composite materials
DuPont to build first Zytel ® HTN high molecular polymer device in Germany
Total sells Atotech to The Carlyle Group for $ 3.2 billion
Novelis:Aided by demand from the automotive and can manufacturing industries, demand for rolled aluminum will increase 4-5% next year
ON Semiconductor showcases new silicon carbide (SiC) -based hybrid IGBT (Insulated Gate Bipolar Transistor) combining energy and cost efficiency
Corning Introduces New Glass Substrate Astra ™ Glass to Improve Display Pixel Density
American metal and carbon fiber composite 3D printer maker Markforged Development of fire-resistant 3D printed continuous carbon fiber composites
China develops high temperature and low temperature resistant Kevlar aerogel fiber
Building composites market to exceed $ 65 billion by 2025 Metal matrix composites become important thrust
German Aerospace Center DLR successfully predicts cracks in ceramic matrix composites with MultiMechanics software
University of Manchester uses BAE Systems to 3D print titanium alloy parts and Making drones
Active matrix OLED panel supplier Ritdisplay and Micro LED manufacturer PlayNitride form a strategic alliance for Micro LED
DSM, a leading international chemical raw materials and pharmaceutical group Acquisition of engineering plastics company SRF expands Indian operations
CHINAPLAS 2019: Chinese manufacturers of high-performance modified plastics and thermoplastic fiber composite materials for automotive display thin-walled PP + EPDM-TD20 materials for automotive Hay:C03SZWT
CHINAPLAS 2019: BASF showcases more than 20 innovative materials for electric vehicles including Ultramid® polyamide (PA) and Elastolit® polyurethane (PU)
Japan developed high-efficiency light-absorbing material
Evonik’s Special transparent polyamide product (memory nylon) /ultra-microcrystalline polyamide TROGAMID®CX makes Casio’s G-SHOCK®MUDMASTER new sports watches indestructible
The world’s largest glass fiber professional manufacturer’s alkali-free glass fiber pool kiln drawing in the US production base put into operation Hay:D01JSJT
Interview with Nobel Prize winner Andre Geim: Graphene may not replace silicon, we need more patience and effort
Global chemical giant BASF invested $ 100 in China to build a new engineering plastics modification unit and a thermoplastic polyurethane (TPU) production unit.
Tods defence company produces carbon fiber composite sonar shroud for Type 26 frigate
Bentley to introduce 3D finishing materials for new Flying Spur
Austrian Lithoz releases ceramic 3D printer CeraFab 7500 Dental
3D Systems Introduces Figure 4 Modular 3D Printing Solution
WACKER unveils 3D printing technology at Chinaplas 2019,Focus on silicone rubber applications in the medical industry
Covestro develops BaythermR microcellular foamed polyurethane rigid foam technology to help cold chain logistics development
China’s photomask leader successfully IPO, raise funds to increase investment in flat display mask and semiconductor chip mask Hay:I03SZQY
China’s T1000 grade carbon fiber composite material manufacturers bring carbon fiber pressure vessels, carbon core cables and other products to the fourth military and civilian dual-use new materials conference Hay:D02JSZF
Adopting lightweight and high-strength carbon fiber composite materials, China builds 600 kilometers per hour
Jotun launches new generation of SeaForce germicidal antifouling coatings
New high-strength high-modulus carbon fiber high-pressure gas cylinder developed by China passes the test
Nano lithium iron phosphate battery cathode material, carbon nanotube conductive liquid and carbon nanotube manufacturer’s lithium iron phosphate sales exceeded 17,500 tons in 2018 Hay:N01SZDF
Important progress in high performance YAG phosphor glass ceramics
Foam RF coaxial cable manufacturers invest in high-temperature superconducting materials, induction heating materials and high-temperature superconducting induction heating Hay:H07JXLC
Thermal insulation capacity is 2.8 times that of cotton fiber Chinese scientists have developed a “super thermal insulation material”
Large area using carbon fiber! Alaka’i to launch hydrogen fuel cell aircraft Skai
Swiss engineering company Scheurer Swiss GmbH is working with partner Ruag Space to develop a carbon fiber composite Martian chassis
Solvay partners with Stratasys to develop new high-performance additive manufacturing (AM) wire for Stratasys’ FDMu00AE system F900® production-grade 3D printer
Sucheta Govil will be Chief Commercial Officer of Covestro, responsible for polyurethane, polycarbonate and coatings, adhesives and specialty chemicals
Constellium provides PSA with body panels and anti-collision beam aluminum
The world’s first spinning-grade single-layer graphene oxide 10-ton production line was successfully commissioned Hay:H02HZGX
The transformation of China’s photovoltaic glass, production capacity accounts for more than 90% of the world! From dependent imports to alternative imports,
U.S. Department of Commerce preliminary anti-dumping ruling on some aluminum wires and cables imported from China
British automotive engineering company Gordon Murray Automotive designs carbon fiber composite supercar
WACKER CHEMICAL expands silicone elastomer production capacity in China, investing tens of millions of euros to expand production lines
One of the first seven demonstration projects of the country’s first carbon fiber conductors: Shandong’s first carbon fiber conductor grid project completed
Anisoprint, a continuous carbon fiber 3D printer and materials manufacturer in Luxembourg, recently launched a basalt fiber composite for 3D printing
Aleph Objects and FluidForm collaborate to develop biological 3D printer LulzBot Bio
DuPont Photovoltaic Solutions announces the introduction of a new brand, DuPont ™ Fortasun ™ Photovoltaic Silicone Materials, for its flagship product lines, Tedlar® backsheet material and Solamet® conductive paste
Tokyo University of Science discovers new cathode material, lays foundation for next-generation rechargeable magnesium battery production
China Leading manufacturer and supplier of Vales,GROOVED FITTING & COUPLING, malleable cast iron and steel pipe fittings Hay:U07SDMD
Dow launches new DOWSIL silicone optical bonding material Not afraid of the harsh environment of automotive displays
Styrenic thermoplastic elastomer / SEP manufacturer and supplier for optical Fiber and Cable Filling Gel Breaking the international monopoly Hay: C05ZJZL
Chinese leading electronic thermal management materials: Graphene thermal conductive film, graphene thermal conductive sheet, graphene foam film manufacturer and supplier Hay:F03CZFX
LG Chem sells stake in polarizing plate business
Carbon fiber reinforced silicon carbide ceramic matrix composites Hay:D05HNSX
Airbus A350 XWB, a new generation of ultra-wide body mid-size passenger aircraft featuring Teijin Tenax®TPCL carbon fiber thermoplastic reinforced laminates, has been delivered to Japan Airlines
Germany to fund three battery alliances to reduce dependence on Asian suppliers CATL, LG Chem and Samsung
Russia’s Baranov Aero Engine Manufacturing Research Institute tests a new generation of rotor-piston aero engines, ceramic-based composites perform well
Chinese automobile aluminum alloy precision die-casting parts manufacturer builds an annual output of 1.2 million sets of automobile lightweight precision aluminum silicon magnesium alloy die-casting parts project Hay:T02NTXB
China’s electronic circuit high-frequency microwave, high-density packaging copper-clad board, and ultra-thin copper foil projects passed inspection Hay:I04HNGS
China leading medical grade PEEK/engineering plastic profiles and products: polyetheretherketone (PEEK), polyimide (PI) manufacturer and supplier Start R & D Center Hay: C02JSJH
Siemens cooperates with European Space Agency/ESA to develop design and production software for metal 3D printing
Sekisui Chemical acquires American carbon fiber reinforced composite (CFRP) manufacturer AIM Aerospace
Electrolyte additives for power lithium batteries
British aerospace engine system maker GKN announces expansion of its risk and benefit sharing partnership (RRSP) with U.S. aerospace maker Pratt & Whitney to strengthen collaboration on 3D printing
Russian Anisoprint company launched a new type of basalt fiber composite material (CBF) for continuous fiber 3D printing technology
Oerlikon Additive Manufacturing Shanghai Technology Center officially established
Leader of lithium battery material technology Goodenough invents new lithium battery separator
Fraunhofer Institute for Material and Beam Technology IWS researchers have developed a new electrode production process or can produce solid fire-resistant batteries
Italian composites company Xenia launches two series of carbon fiber and hybrid carbon / glass fiber reinforced polyamide compounds
Polyurethane foam insulation materials, variable density multilayer insulation materials, new insulation materials allow Chinese cryogenic rockets to stay in orbit for up to 30 days
Gelest Organics acquires monomer and surfactant company Bimax
Metal and new materials industry achieves high-end collaboration and makes new breakthroughs in practical cooperation
Chinese lithium-ion battery material maker shares in British listed company Bacanora, focusing on its lithium extraction process
RPC Superfos develops new plastic food containers to reduce household-level food waste
Renishaw values growth in Mexico’s 3D printing market, invests $ 5 million in new plant
Application of WACKER’s functional LSR materials in 3C and wearable devices
Steel / aluminum vs. carbon fiber composites Where is the “direction” for automotive lightweighting?
Alternative to petroleum-based plastics, World Centric degradable tableware hits Target
Reflectivity is only 1/10 of the market, AIST develops automotive polarizers
Manufacturers of magnesium aluminum alloy die-castings, magnesium alloy sheet, and magnesium alloy stamping and forging invest 6 billion yuan to build a world-class magnesium alloy industrial base Hay:A03SXAT
Teijin Limited to acquire Benet, Czech automotive composite parts supplier
Ineos Styrolution is working with Unternehmensgruppe Theo Müller, a leading dairy producer, to develop a chemical recycling based polystyrene (PS) recycling solution
ABB exits the PV inverter market and is taken over by FIMER, the eighth largest inverter manufacturer in the world
China magnesium alloy, aluminum-titanium alloy, aluminum-titanium-boron, aluminum-strontium alloy leading manufacturer acquired 100% share of CQBA magnesium-aluminum alloy technology, a precision magnesium alloy die-casting manufacturer Hay:03NJYH
Innovusion launches high-resolution lidar system to effectively improve vehicle safety
It is estimated the market size of ternary cathode materials such as NCM532 will exceed 240 billion yuan by 2025
SAFRAN builds a new aircraft carbon brake disc factory
Ford invests $ 65 million in Desktop Metal to promote automotive industry development with metal 3D printing
ChemCycling project updates: BASF, Borealis, Südpack and Zott have shown a series of prototypes of food packaging made from 100% recycled materials
Zoox autonomous vehicle will use independent active suspension system
Continental Group Releases 3rd Generation Electric Drive System
Composite flexible transparent conductive film will be applied in the field of touch screen in the future Hay:H02HFWJ
SHSH Carbon Fiber Technology Group builds a new 5000-ton carbon fiber production line, which will realize the industrial production of 48K large tow carbon fiber precursors
British fluid power system manufacturer Domin collaborates with metal 3D printing expert Renishaw to develop 3D printed metal valve body
US Army Research Laboratory (ARL) Grants $ 15 Million to 3D SYSTEMS! Develops World’s Largest Metal 3D Printer
Porsche Cayman GT4 Clubsport uses natural fiber organic composites to achieve 60% weight reduction
High-purity quartz glass material and its products manufacturer invests in semiconductor quartz crucible and discrete device crucible project Hay:B04JYHC
The microelectronic grade PI film production line expanded by China’s microelectronic grade polyimide film PI film manufacturer has been officially commercialized, mainly used in the field of flexible circuit board (FPC) substrate (FCCL) manufaHay:C04AHGF
China leading nano-porous super-insulation SiO2 aerogel material, thermal insulation pipe, polypropylene fiber composite aerogel material manufacturer and supplier Hay:F03NJGY
Bosch sets up Ceres iAM subsidiary to 3D print plastic parts with injection molding quality standards
Japanese brake pad manufacturer Akebono secures $ 185 million in restructuring funds
China Carbon fiber microwave global leading manufacturer and Spanish Composites R & D and Application Center (FIDAMC) develop aerospace-grade carbon fiber components and strive to enter the Airbus supply chain Hay:D02TWYH
Sheela Foam intends to acquire Interplasp for 42 million euros, will enter the European polyurethane foam market
Mitsui Chemicals and ARRK collaborate to promote the application of fiber-reinforced thermoplastics in automobiles
Development status of China’s titanium alloy materials in the field of aviation materials
LANXESS develops orange polyamide polyester compound with high stability, the color remains unchanged after aging at 130 ℃ for 1000 hours
Axalta Coating Systems expands production capacity of German powder coating plant to meet market demand for high-quality metal powder coatings
Corning enhances NanoPerforation glass cutting technology to match 3D glass cutting application development
Netherlands Thermoplastic Composites Research Center (TPRC) develops composite molding overmolding technology
Cetim announces new 3D printed steel grade: 33CrMoV12 for laser powder bed fusion (L-PBF) additive manufacturing
WACKER to build electro-active NEXIPAL® silicone laminate production line
HELLA develops battery module solution for light hybrid 48V vehicles
Kordsa’s acquisition of Axiom Materials paves the way for becoming a qualified manufacturer of oxide ceramic matrix composites
Huntsman announces acquisition of remaining 50% stake in SASOL- Huntsman joint venture
ThyssenKrupp and Evonik sign HPPO process license agreement with Chinese manufacturer of hydrogen peroxide to propylene oxide
Carbon nanotubes + RRAM + ILV 3DIC out of the laboratory, this wafer may change the semiconductor industry!
Sumitomo Chemical to form new company with Sanritz Polarizers to enhance its LCD polarizer business
PPG to acquire Dexmet Corporation,a manufacturer of expanded metal foils and polymers for surfaces in aerospace, automotive and industrial applications
Chinese companies stop buying British high-precision special alloy aviation component manufacturer Doncasters
Addcomposites launches plug-and-play AFP / ATL for cost-effective composite manufacturing
BASF expands cooperation with car seat and interior manufacturer Yanfeng Adient to jointly create innovative automotive applications including seat solutions
Toray mass-produces RAYBRID®, a photosensitive conductive material, helping to increase the size and surface of automotive displays
China leading graphene electric heating films, water-based graphene conductive ink, graphene water-based heat distribution coating manufacturer and supplier Invest in a new R & D and production base Hay: F03BJBX
Nano silver wire and Composite flexible transparent conductive film will be widely used in folding screen mobile phones
Sumitomo Chemical develops three new SumikaSuper LCP grade polymers to meet the needs of connector manufacturers
Teijin completes acquisition of Renegade Materials Corp., a supplier of highly heat-resistant thermoset prepregs, expands aerospace business
China Functional coating layer processing new material manufacturer and supplier plans to build 10 high-precision coating lines
MAHLE releases smart bearings, early warning of engine failures
Nuance, the world’s leading conversational artificial intelligence company, announces spin-off automotive business company name and brand identity Cerence
Chinese researchers produce highly flexible, stretchable nanowire superlattice fibers
China’s arc-type additive manufacturing equipment shipped, metal 3D printed parts can reach 1.5 meters Hay:H01NJZK
Covestro uses its Makrolon polycarbonate, polyurethane, Platilon series of thermoplastic polyurethane (TPU) films and other advanced materials to work with partners to develop comprehensive automotive interior concepts
China’s special fiber manufacturer conquer PTT polyester, fiber, and In Situ Synthesis of Poly (ethylene terephthalate) (PET) technology Hay:D01JSSH
Northrop Grumman Corporation plans to make all parts and components of superjet Ramjets and supersonic combustion ramjets through 3D printing
Global automotive electronics supplier Visteon reports second-quarter sales and 3.3% decline
SDK develops technology to directly connect / bond aluminum alloy and polycarbonate resin
Replace carbon fiber for automotive and aerospace? University of Portsmouth develops hybrid composites with basalt fiber
Delphi Technology launches 2500Bar diesel injection system in China
Japan has developed micron thickness OLEDs based on perovskite materials
Protean 360 ° steering wheel system for height adjustment and all-round steering
German 3DMP metal 3D printer manufacturer GEFERTEC opens 3DMP metal 3D printing manufacturing plant in the U.S.
The Sanyo Special Steel Group has developed ultra-clean steel: bearing steel improves rotating parts such as bearings
Platinum giant Anglo American Platinum Ltd. hopes to develop a lithium battery that uses platinum group metals instead of cobalt and nickel to alleviate the threat posed by the electric car boom
Covestro debuts at Shanghai International Automotive Interior & Exterior Show
China leading automotive engine, gearbox,chassis powder metallurgy components and parts,machinery parts manufacturer and supplier Hay:T05ZJDM
Chinese graphene rubber foam material manufacturer successfully develops graphene rubber foam sole products Hay:C05FJWC
Application status of silicon carbide ceramics and its application prospects in the 5G era
ADAYO Huayang Digital Rearview Mirror
Core materials of hydrogen fuel cell: Gas diffusion layer, platinum carbon catalyst / platinum alloy catalyst are commercialized in China Hay:N01SHJP
New application of ultrafine nanomaterial fumed silica for vacuum insulation panels
Shipment of Colorless Polyimide (CPI) film for foldable smartphones will reach 350,000 square meters in 2021, an increase of 169% over 2019
UHT Unitech and Spain’s FIDAMC collaborate to develop space-grade carbon fiber try to enter the Airbus supply chain
The TUM team cooperated with SGL experts to develop an optimized model of the carbon fiber material capsule of the super-loop train
Bentley unveils luxury concept car EXP100GT made of carbon fiber and aluminum alloy composites
Max Planck Institute, MPI cooperates with the National Engineering Research Center of Light Alloy Precision Forming of Shanghai Jiaotong University, and has made important progress in the field of magnesium alloys
Suppliers such as Bosch, Magna International: Lightweight drive for global rear door market development
Chinese electronic-grade glass fiber manufacturer creates high-end ECT glass fiber, high-strength high-modulus glass fiber, low-dielectric-constant HL glass fiber, ultra-high-strength HT glass fiber, and high-refractive-index HR glass fiber Hay:D01CQGJ
K 2019:Teknor Apex showcases Creamid P-series polyamide 6 (PA 6) compounds
Coated cloth and film supplier Canadian General-Tower Ltd announces acquisition of French plastic film companies AlkorDraka Industries and Alkor Medical Tubing
Aerospace New Materials Research and Development Center of China Aerospace Design Institute Completed
Chinese researchers prepare new nano-sized liquid metal electronic ink and smart flexible conductive device
China’s first Ultrafine silver (Ag) / Copper (Cu) grid film / Copper touch sensor film for flexible touch sensor project started Commissioning Hay:I01JSRX
Fine chemical company DIC Group to acquire BASF’s global pigment business
Clariant MegaMax® 800 methanol synthesis catalyst goes into production in China
INEOS Styrolution, a global leader in styrenics, launches new glass fiber reinforced ASA acrylonitrile styrene acrylate for exterior window surfaces
China Automotive Fuyao Glass Leading Manufacturer:Worked with BMW to develop a front windshield with HUD head-up display configuration
3D Systems, a leading 3D printer OEM manufacturer, and TOYOTA Motorsport GmbH (TMG), a German automotive research and development center, have announced a partnership to develop automotive additive manufacturing solutions.
The 2000Mpa ultra-high strength galvanized steel cable made in China is used for the first time in the world Hay:A02ZXTG
Plasma milling modified Sb2S3-graphite nanocomposite as a highly reversible alloying-conversion anode material for lithium storage
U.S.-China steel wheel “double counter” final ruling results released
Kyocera and UBE jointly invest in ceramic filters for 5G base stations
Janicki Industries builds new aerospace composite manufacturing facility to produce carbon fiber composite aerospace parts
Chinese carbon fiber auto parts manufacturer’s SMC composite pickup truck bucket wins China Composites Expo 2019 Innovation Award Hay:D02HBSS
Swedish self-driving software company Zenuity and CERN collaborate on fast machine learning for autonomous driving
Duesenfeld: 96% of materials in batteries can be recycled
How is China’s 0.12mm ultra-thin ultra-flexible glass produced?
German Chancellor Merkel launches new Webster China plant
MakerBot launches METHOD X, bringing 3D printing of true ABS materials to manufacturing
Traditional automotive lightweight alloy materials VS automotive lightweight composite materials
STMicroelectronics was designated by the Renault-Nissan-Mitsubishi Alliance as an energy-efficient silicon carbide (SiC) technology partner to develop power electronics devices for its advanced on-board charger (OBC)
Weight reduction of over 30%, China’s special steel manufacturer’s new generation of 1200MPa thin-gauge hot-rolled ultra-high-strength steel achieves stable batch supply Hay:A02MGJT
Huntsman promotes the development of polyurethane composites in the field of doors and windows
Huntsman announces New polyurethanes systems in Dubai officially put into operation
MAHLE launches new fiber-reinforced plastic battery case to reduce electric vehicle weight and CO2 emissions
China’s 8-inch gallium nitride GaN epitaxial wafer production base puts into production Hay:I04SDJN
BASF’s Elastolit® polyurethane solution helps 5G communication towers to cope with harsh environments
Tethon3D, 3D CERAM, Lithoz, Steinbach AG, PRODWAYS and Desamanera are currently key suppliers in the ceramic 3D printing market
China develops high-speed permanent magnet synchronous traction motor for 400 km / h high speed trains
Chinese carbon fiber composite equipment manufacturer establishes continuous fiber reinforced thermoplastic composite material, carbon / carbon composite material technology company Hay:D02DFJHY
Chinese manufacturer of precision aluminum alloy structural parts and components for communication system equipment and automobiles, invested RMB 2 billion to build JZCX Precision 5G Technology Industrial Park Hay:T02SZCX
China vector cycloid reducer manufacturer vector cycloid reducer latest technology debut, groundbreaking double cycloid differential
BASF builds PBAT in China: Engineering Plastics (Polybutylene Terephthalate (PBT) and Polyamide (PA), Copolymer of Polybutylene Terephthalate and Polybutylene Terephthalate (PBAT)) And thermoplastic polyurethane new production line
Delphi Technology Launches 800 Volt Silicon Carbide Inverter Based on Cree’s Wolfspeed Silicon Carbide MOSFET Semiconductor, Cutting Electric Vehicle Charging Time in Half
DuPont opens new liquid PI factory for flexible panel substrates in Cheonan, South Korea
Lucas’s 140-year-old automotive aftermarket brand returns to the Chinese market
Clariant’s MEVOPUR® Medical Modifier Plant Passes New Standard Certification
Faurecia demonstrates the application of composite materials in automotive battery parts: SMC composite shells, battery packs-structural panels using aramid fibers and glass fiber reinforced materials
Norsk Titanium and Safran Landing Systems join forces to verify the application of additive manufacturing technology in titanium casting
China Nano’s first nanofiber separator production line has been put into production, dedicated to creating a “safe core” for automotive batteries Hay:N02NBRC
Mitsubishi Chemical intends to launch Italian carbon fiber sheet molding compound (SMC) production facility
China graphene-reinforced polyurethane composite fish plate has been successfully developed and has been put into use
Magna to be a game changer for future mobility:Autonomous driving, Magna’s future travel
EOS: the world’s leading Industrial 3D Printing manufacturer for polymer and metal industry 3D printing, has introduced a new flexible polymer material for industrial 3D printing: EOS TPU 1301
The leading ultra-precision tungsten alloy parts and components supplier of Philips and ANALOGIC Hay: A04SHLJ
Webasto launches production of power battery assemblies in Germany
Beijing University of Aeronautics and Astronautics made composite material unmanned aerial vehicles for uninterrupted flight for more than 30 hours, breaking the world record
BorgWarner’s 3 millionth DCT module goes offline
Chinese research team realizes one-step preparation of 99.9999% ultra-pure semiconductor carbon nanotube array
New titanium alloy 3D printed implant, Johnson & Johnson DePuy Synthes announces interbody fusion cage
Hella develops artificial driving sounds:acoustic vehicle warning system (AVAS) for electric cars
Various automotive steering systems
Robert Bosch begins research and development of silicon carbide and plans to start production in 2020
UHMWPE ultra-high molecular weight polyethylene fiber and aramid fiber composite bulletproof material manufacturer focuses on the development of high-end bulletproof composite materials Hay:D01BJPN
BASF’s Ultramid® Vision is used in automotive interiors for the first time, and translucent materials keep the light flowing
Toray Advanced Composites’ LM-PAEK carbon fiber-based laminate is used in the Clean Sky MECATESTERS large passenger aircraft project for the first time
DUNA-USA, the manufacturer of rigid polyurethane and polyisocyanurate systems, announced the addition of a new low-density production line
New 3D printing polyurethane additive RPU 130 Tough rigid high temperature resistant material launched by 3D printing company Carbon
Fraunhofer’s bio-based polylactic acid PLA composite may be an environmentally friendly alternative to carbon fiber composites
New technology from Robert Bosch , exploding your electric car to ensure your safety to prevent secondary injuries
Asahi Kasei to increase production capacity of low dielectric constant materials, plans to be used in the field of 5G communications
From “Made in China” to “Designed in China:”Valeo China Wuhan Technology Center Phase II Completed Expansion
Trelleborg Sealing Solutions introduces two seals dedicated to electric vehicles-HiSpin PDR RT and HiSpin HS40
K 2019 | Global polymer manufacturer HEXPOL TPE will launch TPE with recycled content
China once again made a major breakthrough in the international standardization of nanomaterials
Invested in wide-width titanium alloy sheets and foil production facilities Hay:A04SXBT
MAHLE launches high-performance motors, making electric cars more economical
Inteva, a leading global automotive interior decoration supplier, joins hands with Chinese automotive interior materials company
Arkema Changshu plant high-performance polymer PVDF will expand production capacity
Continental and 3M cooperate to develop smart infrastructure technology
Ultra-fine high-purity lithium battery high-purity alumina, boron nitride, silica manufacturers’ new lithium battery high-purity alumina production line put into operation Hay:B01SZJY
SAE International released its first specification for additive manufacturing polymers for the aerospace sector
GKN Powder Metallurgy, one of the world’s largest producers of metal powders and parts, acquires Plastic additive manufacturing expert FORECAST 3D
Swedish carmaker Scania launches concept bus made with Marstrom Composite carbon fiber composite material
Novelis Aluminum Corporation acquired Aleris Aluminum Corporation of the United States and became the world’s largest universal flat rolled aluminum products (FRPs) company
Schaeffler, a world leader in automotive rolling bearings and linear motion products, provides key components for fuel cells, metal bipolar plates.
Chinese ceramic membrane manufacturer overcomes key technologies for flat ceramic membrane preparation and mass production
Valeo’s third-quarter revenue rises, but GM strike has caused considerable losses
Lamborghini sent five carbon fiber samples to the International Space Station (ISS) for testing, Study materials science to increase the speed limit of automobiles
Insulation and insulation materials Rubber and plastic foam insulation materials, sound insulation and noise reduction materials manufacturer’s insulation materials industrial park officially opened, and 9 production lines will be built Hay:F03HBBL
BorgWarner develops torque-controlled dual-clutch system to improve overall electric vehicle efficiency
Italian luxury yacht manufacturer Ferretti’s FSD 195 high-speed official ship will use a lot of new materials such as carbon fiber and titanium
Japan JSR company developed a new type of tire rubber material, tire styrene-butadiene copolymer rubber SBR
Stryker’s layered titanium 3D technology prints SAHARA laterally expandable cage implants to obtain FDA 510K certification
Inkbit, a supplier of artificial intelligence and machine vision 3D printing technology, Obtained investment from Stratasys, Royal DSM, 3M and Saint-Gobain
DuPont launches Kevlar® and Tychem® gloves series for hand protection to protect workers’ safety
Haydale launches functionalized graphene-enhanced prepreg that protects against lightning strikes
ArcelorMittal launched the Fortiform 980 Extragal (-GI) steel grade. This is a new advanced high-strength steel (AHSS) that can be used in the automotive industry
The engineering plastics AKRO business unit modified engineering plastics project of the German K.D. Feddersen Group is officially launched
Webast collaborates with Ford to showcase modular battery systems / thermal management systems / charging solutions
Aircraft structure supplier Triumph Group will cooperate with Embraer to conduct flight verification of the thermoplastic composite main structure
Chinese crystalline silicon powder, fused silicon powder and spherical silicon powder leading manufacturer and supplier successfully IPO
BASF to supply polyurethane foam systems to Hyundai Motors
Denso, the world’s second largest component supplier, bets on future travel
The highest-strength cold-formed 1200MPa martensitic high-strength steel for automobile produced by Chinese special steel manufacturer successfully rolled off the production line Hay:A02LNBG
Special alloy products, porous foam products, high temperature and high pressure wear-resistant disc valves manufacturer and supplier Hay:T02BJGY
US OceanGate company built new carbon fiber / titanium alloy manned submersible Cyclops
HERTWICH new generation of aluminum ingot homogenizing annealing furnace is launched
FlexEnable announces acquisition of Merck’s organic thin-film transistor/OTFT material portfolio to accelerate commercialization of large-area flexible display applications
Scheurer Switzerland provides carbon fiber-reinforced 3D printed parts for Toyota Motorsports, which are used in the latest generation of Toyota FT engines in David Gouk racing engines and Haberlin composite materials.
FORMNEXT 2019, SLM Solutions exhibited next-generation ultra-large SLM forming technology and four-laser metal machine
Toray announced that the company invented the world’s first porous carbon fiber with a nano-scale continuous pore structure.
China Special Chemical Fiber Technology Group provides UHMWPE ultra-high molecular weight polyethylene fiber high-performance ropes for the Hong Kong-Zhuhai-Macao Cross-sea Bridge Project Hay:D01YZHX
Structural vibration isolation technology and product manufacturer provided bridge vibration isolation technology products for Hong Kong-Zhuhai-Macao Bridge Hay:F01LZDF
Purdue University researches new technology to improve the ductility of ceramic materials for automotive parts
Chinese and British researchers collaborate to develop large-scale mica membranes to be used as high-temperature proton exchange membranes for fuel cells, which will accelerate the commercialization of hydrogen fuel cell vehicles
Japanese researchers found that adding trace copper to high-hardness steel can significantly improve the corrosion resistance of the alloy, which will overcome the problems of heat-resistant, wear-resistant and corrosion-resistant super engineering plasti
Chinese researchers successfully developed a new generation of compound tumor embolism agent made of liquid metal
Kaolin sizing old newspapers can be used to produce carbon nanotubes or solve large-scale production problems
SGL Carbon provides composite materials for the rotor blades of the Airbus H145 helicopter: two special fiberglass non-crimp fabric
Chinese sintered NdFeB permanent magnet material manufacturer plans to invest in new high-performance sintered NdFeB magnet project for automotive motors Hay:F05AHDD
Lamborghini launches carbon fiber composite research on the International Space Station
Chinese composite material manufacturer and CIMC Offshore Engineering jointly developed super honeycomb sheet composite helicopter platform successfully passed the fire resistance test Hay:D02SZSY
Global specialty plastics supplier Eastman cooperates with environmentally friendly coatings supplier NB Coatings to use Trēva ™ engineering bioplastics to provide a new interior A-level spray surface solution
China accelerates the industrial application of lightweight materials for vehicles such as high-performance aluminum-magnesium alloys and fiber-reinforced composite materials
China’s lithium battery anode materials: natural graphite, carbon microspheres, artificial graphite, soft carbon, hard carbon, lithium titanate, silicon-carbon alloy total output will reach 240,000 tons in 2019, artificial graphite will account for 78%
ELG carbon fiber company will cooperate with INEOS Team / INEOS Team UK to build “Britannia” boat frame from recycled carbon fiber
SGL Carbon and Solvay collaborate to develop highly-competitive advanced carbon fiber composites for aerospace primary structures
Breakthroughs for Specialty Glass Manufacturer:China Rolling Off 0.12mm Ultra-thin Electronic Touch Glass
China’s first magnetic pump equipped with composite basalt fiber spacers was successfully developed
China Advanced & High Performance Non-ferrous/Titanium Metal Materials Industry
China Advanced & High Performance Rare Metals Materials Industry
China Advanced & High Performance Special glass and high-purity quartz products Materials Industry
China Laser and Detector Chip Products Supplier for Optical Communications
China Top Manufacturer and Supplier for Automotive DC/DC Converter, On-Board Charger, CDU, DC/DC for FCV, High Power Charging
OmniVision’s new image sensor provides high-quality video capture for smartphones
China Tire Manufacturer are Investing In Heavy Equipment & Giant Construction Machinery Tires
ZF Friedrichshafen AG aftermarket explores new generation of aftermarket with innovative products and services
UFI Filter Group Unveiled the new ARGENTIUM filter at the Automechanika
Start of construction of Evonik’s AEROSIL fumed silica production line with Chinese chemical company
China Graphite Anode Material For Lithium-Ion Batteries Research and Development Achieved New Breakthrough
China 48K large tow carbon fiber Manufacture and Supplier, Achieve a successful breakthrough from 12K to 48K Hay:D01SHSH
China Leading Metallized Paper Laminated Foil/Film Material, Lasr Holographic Film Manufactuer and Supplier Hay:F08FJTX
China Carbon Fiber Composites Technology Corporation Delivers the First Drone AV500B / C Using a Large Number of Carbon Fiber Composite Materials Hay:D02WHGW
Chinese researchers develop Glass‐Ceramic‐Like Vanadate Cathodes for High‐Rate Lithium‐Ion Batteries
Layout planning of intelligent driving / cockpit technology, China JAC and Huawei sign cooperation agreement
China Automotive Interiors company Yanfeng opens new plant in Serbia
Bosch hydrogen fuel cell center lays foundation in Wuxi, plans mass production in 2021
Schaeffler Smart & Intelligent Driving System Project Invested in China
Continental’s ‘see-through’ A-pillars use cameras VS Apple’s new patent solves A-pillar blind zone with car camera
Type 4 high-pressure Hydrogen storage cylinders developed by Plastic Omniun passed all R134 certification tests
China New Material company invested 615 million RMB to build a power battery aluminum foil project
Using Carbon Fiber as Prestressed Reinforcement, China’s Large Suspension Bridge Wins Luban Award
How Magna responds to the opportunities and challenges of car seats
Continental Powertrain Business::Vitesco Technologie shows new gearbox with integrated motors will significantly reduce plug-in hybrid vehicle costs
SABIC Introduces New High-Temperature Resin to Reduce Vehicle Weight / Improve Fuel Efficiency
Volvo Cars will use blockchain technology to track cobalt production
Schaeffler backs production experience with production cars
ZF Friedrichshafen AG to launch coASSIST driver assistance system next year
One of the world’s three largest automatic transmission manufacturers Jatco Suzhou officially put into operation
China Extruded Polystyrene (XPS) thermal Rigid insulation Foam board Manufacturer and Supplier Hay:F03NJFNG
China Leading Oxide Crystals Manufacturer and Supplier for MgAlO4, NdGaO3, LSAT, 3-inch LaAlO3 Crystals Hay:B06HFKJ
China Manufacturer and Supplier for Electroplated Diamond Wire Saw and Precision Diamond tools for the semiconductor industry Hay:B06NJSC
Chinese researchers have developed a new three-dimensional, highly conductive, lithium-philic MXene / graphene porous aerogel new material to create a high specific energy, long-life lithium metal battery
BASF launches Basotect® UF +, a lightweight melamine resin foam product that can be used in railways and railway vehicles
PPSS Group launched high-performance body armor made of carbon fiber reinforced composite Auxilam, which can reduce the thickness by 19%
British Magma Global Company provides m-pipe carbon fiber reinforced polyetheretherketone (PEEK) thermoplastic composite submarine crossover pipe for C-Innovation in the United States
China Manufacturer and Supplier for Micro High Precision Machining, Miniature Parts Hay:T01SZLY
China Leading Mnaufacturer and Supplier for Indium Tin Oxide (ITO) Targets for TFT-LCD Industry Hay:A01GXJL
Chinese scientists have proved through experiments that two-dimensional single-layer copper-based superconductors have high-temperature superconductivity
ORNL has developed a new type of lightning-resistant polymer material, which is used as a surface protection layer for carbon fiber reinforced composite materials (CFRP)
Chinese companies’ silicon carbide projects have gradually landed, and the third-generation semiconductor commercial prelude has slowly begun
About SMA: Shape memory alloys,Smart materials with “memory”
China’s high-end locknut/self-locking nuts production line for rail train, automobile and construction machinery put into production Hay:U07HBZC
DSM partners with Chromatic 3D Materials and RepRap to focus on 3D printed polyurethane parts
China Solar photovoltaic ultra-white rolled glass Manufacturer and supplier Hay:B04HNAC
Arkema, a manufacturer of high-performance polymers for 3D printing and composite materials, has expanded 3D printing of composite materials to its range of high-performance materials
China Leading Manufacturer and Supplier for Tungsten-based alloy extrusion products, tungsten-based alloy phantom materials, high-density tungsten-based alloy Hay:A04HNBY
China Manufacturer and Supplier for Prisms, lenses, high-precision glass wafers, high-precision glass aspheric lenses, optically coated lenses Hay:F06ZJLT
China Precision ultra-thin stainless steel strip/Coil Manufacturer and Supplier Hay:A05ZJYJ
China Leading Manufacturer and Supplier for Precision alloy, high temperature alloy, corrosion resistant alloy, special stainless steel Strips Hay:A02SGJT
China’s first 3mm ultra-thin rock slab production line put into operation
China Leading Manufacturer and Supplier for 30Mpa grade high strength impact resistant foam aluminum material,Foam aluminum high power electromagnetic shielding technology Hay:A05AHYM
Researchers from multiple countries collaborated to make a nickel-platinum alloy hollow nanocatalyst with 20 times higher activity
Scientists find a simple solution for manufacturing ferroelectric nylon film
The size of the global quartz market has steadily expanded, and the structure of China’s high-end quartz market has been upgraded
The high-temperature semiconductor high-temperature quartz material/Fused quartz developed by a Chinese high-end quartz product manufacturer broke the international monopoly and passed the official certification of Tokyo Electronics (TEL) Hay:B04JSTPY
China leading intermediate alloy manufacturer build R & D center for rare earth aluminum alloy and rare earth magnesium alloy Hay:A03HBST
McLaren opens new automotive composite technology center
Canadian Nanomaterials Company obtained a patent on lithium nickel manganese oxide (LNMO) cathode material (also called high-pressure spinel),Manganese-rich cathode materials have potential advantages
Spanish company Montefibre Carbon announced the launch of 80K carbon fiber precursor
China develops new type of thermal insulation fireproof foam ceramic material
China’s new printing media material, PVC-free scratch-resistant flame-retardant inner light box fabric manufacturer: to create a global first-class production base for new digital printing materials Hay:D02WFJC
Singapore researchers have developed the first amorphous carbon film with two-dimensional amorphous carbon, performance comparable to graphene
US Hexcel adds carbon fiber grade HM50, modify IM10 performance index
Mercedes-Benz releases revolutionary battery based on graphene electrochemistry technology
China’s ultra-high-power graphite electrode manufacturer has made new breakthroughs in key preparation technology for graphite electrode joints Hay:B06FDTS
DuPont to produce semiconductor photoresist in Korea
China Leading Manufacturer and Supplier of Aerospace high-performance fasteners, high-end titanium alloy and nickel-based alloy high-locking bolts Hay:U07HTJG
Chinese special fiber new solvent method cellulose manufacturer successfully mass-produces non-fibrillated Lyocell fiber Hay:D01SDYL
BRM (Bally Ribbon Mills) announced the establishment of E-webbings® electronic fabric product base
China Leading Manufacturer and Supplier of Building energy-saving thermal insulation board, modified phenolic fire insulation board, space fire insulation board, modified polyurethane fire insulation board Hay:K06JNSQ
Rolls-Royce opens a new aviation carbon fiber composite production R & D base in the UK
China Leading Manufacturer of LCD driver (LCD / AMOLED Driver), touch sensing, fingerprint recognition IC, power management IC, signal converter, timing controller (TCON), LED display driver and LED lighting driver
Scientists at Tokyo Metropolitan University in Japan use metal carbon nano-regulated thermoelectric devices to convert car engine waste heat into electrical energy
China Leading Automotive high-strength extruded aluminum alloy materials: precision cold-drawn round tubes, porous extruded flat tubes Manufacturer and Supplier Hay:A03NTYT
China Leading Special surfactant Manufacturer and Supplier
China Leading Manufacturer and Supplier of Cutting steel wire, tire meridian, bearing steel ball, roller and needle, car valve and suspension spring, standard parts and bridge cable and other alloy steel wire Hay:A05JYXC
China aluminum-titanium-boron alloys Al-Ti-B alloy, Al-Mg-Ti special lightweight alloy material leading manufacturer and supplier Hay:A03SZXX
Large-sized castings and forged components and parts: Roll shaft,front hollow shaft head, wind turbine main shaft, motor rotor Hay:T03XTZG
China Leading Hydraulic Steering Control Units, Coaxial Flow Amplifying Steering Units, Cycloidal hydraulic motor, cycloidal rotor pump manufacturer and supplier Hay:R08ZJYY
China Leading manufacturer and supplier of Polyperfluoroethylene propylene, polyvinylidene fluoride, fusible polytetrafluoroethylene, fluorosilicone rubber, hydrofluoroether Hay:C06SDHX
China Leading manufacturer and supplier of Miniature electro-acoustic devices, acoustic-optical precision components and precision structural parts Hay:F02SDGE
China leading Metal additives,Fast-melting silicon,Intermediate alloy manufacturer and supplier Hay:A03HEDS
China leading Ultra-light magnesium-lithium alloy manufacturer and supplier Hay:A03ZZQY
China leading Amorphous Ribbons,Anti-theft label tape manufacturer and supplier Hay:F05BJAT
China manufacturer of Ferrite rubber magnets, flexible NdFeB magnets, ferrosilicon (Sendust), iron nickel molybdenum (MPP) alloy materials, wave absorbing materials, precision powder metallurgy parts Hay:F05GZJN
China manufacturer and supplier of Chassis fittings, body fittings, engine exhaust manifolds, engine piping, high-precision bellows Hay:Q05ZJMD
U.S. research on Ybbhh2Si2 composite metal material composed of Ytterbium, rhodium, and silicon / ultra-pure YbRh2Si2 composite metal film helps development of high temperature superconducting technology
China Liquid photosensitive solder resist PCB ink,photosensitive materials manufacturer and supplier
China manufacturer and supplier of polymer materials for cables: XLPE insulation materials, internal and external shielding materials, automotive wiring harness insulation materials, elastomer materials, UL series materials Hay:C02JSDW
China Titanium alloy powder, special metal powder, Powder metallurgy materials and products,Hot Isostatic Pressing:Titanium alloy aircraft structural components and parts Hay:T05WXFE
Rockwool, the world’s largest manufacturer of rock wool insulation products, will build a new fireproof and thermal insulation rock wool production base in China
China cadmium telluride power generation glass, high purity metal semiconductor material manufacturer Hay:B04CDZC
China leading High-performance thermoplastic elastomer TPV/TPE/TPU, modified plastic, masterbatch manufacturer and supplier Hay:C03SDDE
China High purity spherical silicon powder manufacturer and supplier
The leading silicon carbide structural parts and components supplier Hay: B01WFHM
China leading manufacturer and supplier of amorphous / nanocrystalline strips,core and products, refractory materials and products, powder materials and products, magnetic materials and products Hay:H06BJAT
China leading manufacturer and supplier of Ceramic fiber building insulation board, magnesium silicate fiber, soluble fiber, alumina fiber, basalt fiber (rock wool), nano material, lightweight refractory brick Hay:D01SDLY
China Leading High purity, ultra-fine zirconia powder, zirconia beads manufacturer and supplier Hay:B01ZJJK
China Leading manufacturer and supplier of photovoltaic glass, special glass, double-silver Low-E coated glass, LED luminous glass, dimmable glass, fire-resistant glass, bullet-proof glass, curved 3D glass Hay:B04ZHSX
Large special steel materials: special alloys, high-temperature alloys, gear steel, die steel and precision mechanical parts manufacturer will expand the production capacity of special alloy materials Hay:A02SZGD
Composite structural material composed of ceramic hard phase and metal / alloy bonding phase: introduction of cermet [Ti (C, N) based cermet]
Application of nano silver
Evonik develops the world’s first free-flowing bioabsorbable powder for implantable medical device 3D printing:RESOMER® PrintPowder
China leading Photovoltaic welding materials,Aluminium-vanadium alloy/Special alloy metal powder,Enamelled Copper Flat Wire manufacturer and supplier Hay:A05XATL
China PET-G Heat Shrink Film,PETG heat shrinking raw material manufacturer and supplier Hay:C04HNYJ
China leading Carbon/carbon composite materials, high performance powder metallurgical friction materials manufacturer and supplier Hay:D10HNBY
China leading superhard material products, electromagnetism products, powder-metallurgy material and carbides coated composite products manufacturer and supplier Hay:A04GDXJ
Polycrystalline Diamond Composite/PDC/PCD&PCBN cutters Hay:B06HNJR
China leading Ultra-fine cobalt powder, tricobalt oxide, electrodeposited cobalt, three element precursor manufacturer and supplier Hay: N01LZJC
China leading Prestressed steel strand material, steel wire cable, special alloy material manufacturer and supplier Hay:A02AHOS
China leading Power battery precision structural parts: Steel battery shell, Composite blocks, Composite plate manufacturer and supplier Hay:N04GDJJ
Manufacturers of fiber-reinforced cement board, calcium silicate board, fire-proof board provide high-density fiber cement board, exterior wall board, tunnel special fire-proof board for Hong Kong-Zhuhai-Macao Cross-sea Bridge Project Hay:K05GZAT
Rare earth aluminum alloy cable, photovoltaic cable and wire, wind power cable, control cable Hay:F04AHNY
Huntsman launches DALTOPED DuraLite Energy lightweight polyurethane material at SIMAC
Leading Nano Self-cleaning Glass Manufacturer and Supplier Hay:B04ZJDS
Medical mask filter material, air filter material, liquid filter material, sound absorbing material manufacturer and supplier Hay:F07JXTL
Heat insulating hollow glass,Low-e Insulated Glass manufacturer and supplier Hay:B04ZJDS
High precision titanium alloy forgings, titanium rods, high purity titanium powder, titanium rings, tubes, plates, wire Hay:A04SXFS
Decorative Aluminum-plastic Composite Panel,Solid Aluminum Sheet Manufacturer and Supplier Hay:K01JSBB
Formlabs launches Tough 1500 resin for 3D printing rigid and flexible parts
Titanium alloy, superalloy powder, metal spherical powder, titanium alloy superalloy Aero Engines and Gas Turbines blades, powder metallurgy parts, additive manufacturing wire Hay:A05XAOZ
BASF to build new cathode material production base in Germany, R & D and production of new high nickel battery cathode materials with low cobalt content
High-precision copper alloy Bars and wire,High strength and high conductivity copper chromium zirconium alloy strip/wire, tin phosphor bronze strip manufacturer and supplier Hay:A03NBBW
China degradable hygienic products, special functional household products manufacturer and supplier
Ceramic fiber building insulation board and ceramic fiber composite module for refractory insulation in heavy chemical industry, long-distance pipeline network insulation, building fire insulation, high-temperature insulation Hay:D01SDLY
Lithium-ion battery artificial graphite anode material manufacturer develops silicon-based anode material close to commercialization Hay:N01SZSN
LED substrate sapphire wafer, energy-saving semiconductor substrate sapphire wafer manufacturer and supplier Hay:B06QDJJ
Global Leading Superalloy master alloys,precision casting alloy products, superalloy blades manufacturer and supplier Hay:T02BJGY
Sumitomo Chemical develops two new epoxy resins for aerospace carbon fiber reinforced composite materials
Amorphous alloy power transformer iron core, amorphous alloy powder manufacturer and supplier Hay:H06BJZJ
The structural foam material products of PVC structural foam core material manufacturers have successfully entered wind power generation and rail transit feilds Hay:C03CZTS
Optoelectronic display materials: liquid crystal glass substrate, 3D cover glass, color filter, sapphire glass manufacturer and supplier Hay:B04HBDX
Rare metal precision parts: titanium and titanium alloy precision parts/Tubes, metal-based composite materials, precious metal materials, tungsten and molybdenum materials and products manufacturer and supplier Hay:A04SXXB
SmarTech’s industry forecast for metal and medical / dental 3D printing: 20 billion metal parts will be 3D printed in 2025
Leading precision components, precision structural parts manufacturer invests in precision metal processing projects Hay:T01GDLY
Huntsman introduced VITROX RTM 00410, a fast curing polyurethane resin system
The release of Xiaomi 10 brings some new technologies such as WiFi6, LPDDR5, and gallium nitride charger to the public view
Silicon-based GaN has high efficiency and small size, can replace MOSFET products
China marine coatings and heavy anti-corrosion coating manufacturers provide domestic aircraft carriers with domestic aircraft carriers with anti-slip coatings, antifouling coatings, and special functional coatings Hay:M02ZGHY
Morgan Stanley: NCM + CTP is expected to be the ultimate technology for electric vehicle batteries, will replace LFP batteries
Evonik, a German manufacturer of special acrylic-based composite materials, starts a new PMMA production line in the US to produce ACRYLITE PMMA resin
China leading lithium-ion battery separator manufacturer and supplier Hay:N02SZXY
New energy vehicle three-electric system precision structural parts and components manufacturers provide high-voltage electrical connections and decorative parts for Tesla Hay:T01CYJM
Continue to wear for 6 hours without fog! China has developed graphene anti-fog film to solve the fogging problem of goggles
Fine high-purity tungsten oxide, tungsten powder, tungsten carbide powder, cobalt powder, ultra-fine grained cemented carbide material manufacturer and supplier Hay:B02XMWY
Special packaging materials and Expandable Polystyrene/EPS packaging materials manufacturer and supplier plan to build a new EPS project with an annual output of 4,000 tons Hay:C01SZWZ
The energy density of the lithium iron phosphate battery cell developed by the Chinese lithium battery manufacturer has exceeded 200Wh / kg!
Shareholder of injection molding machine manufacturer Arburg acquires German 3D printing company RepRap
High purity sputtering target, including aluminum target, titanium target, tantalum target, tungsten titanium target manufacturer New production base for ultra-high purity metal materials Hay:A01NBJF
Highly Stretchable Polymer Composite with Strain-Enhanced Electromagnetic Interference Shielding Effectiveness
2020 Composites Industry Status Report: Glass Fiber Market and Carbon Fiber Market
Leading additive manufacturing, special connection, metal sheet metal forming, composite material processing, complex machining parts and components manufacturer and supplier Hay:T05BJXH
Chinese high-precision electronic copper foil manufacturer build a new single crystal nano copper wire production base Hay:A03SZZW
Fraunhofer Institute for Structural Durability and System Reliability, LBF develops new low-cost bio-based exterior wall insulation materials
Chinese filter material technology companies have developed nanofiber filter membranes for medical masks.
Chinese researchers have developed the most powerful electromagnetic shielding graphene film material
Precision magnesium alloy, aluminum alloy die-casting, consumer electronics precision structural parts manufacturer and supplier Hay:T02GDJS
Ultra-high temperature refractory materials: zirconia and zirconia hollow ball products (calcium oxide / yttria stabilized), alumina hollow balls and corundum products, compact chrome oxide bricks manufacturer and supplier Hay:F03ZGNH
All-welded structural foam metal, foam aluminum sandwich composite board, lightweight high-strength aluminum alloy material components manufacturer and supplier A05NJJT
Application of new high-performance magnesium alloy
Leading Optically transparent ceramics, piezoelectric and optical functional crystal materials, wide-band optical crystal materials, lasers and nonlinear crystals manufacturer and supplier Hay:B02BJSJ
Leading nano-composite zirconia ceramic mobile phone back plates and electronic ceramic components,Nanometer zirconia powder for precision ceramics and medical dentistry manufacturer and supplier Hay:B01NTTZ
Leading Ultra-high temperature refractory materials: silicon carbide-based refractories, silicon, magnesium, high alumina, magnesium-calcium, oxides and non-oxides manufacturer and supplier Hay:F03ZGNH
Spirit AeroSystems new composite material plant put into operation to increase the supply capability of wing spoilers
Carbon Mobile, the world’s first carbon fiber smartphone, is launched: 6-inch screen is only 125g
Application of glass fiber composite material and carbon fiber composite material in automobile and aviation
Cabot: E2C Introduces Innovative Solutions for Engineering Tires
Carbon fiber reinforced plastic, modified plastic ASA, PBT series, PA, PPA, PC, ABS, TPE material, liquid crystal polymer (LCP), elastomer TPV / TPE series manufacturer and supplier Hay:C03SZWT
High-purity molybdenum and molybdenum alloy, copper, titanium, tungsten and other planar targets and metal targets such as rotating tube targets for TFT-LCD / AMOLED, semiconductor IC and photovoltaic solar manufacturing Hay:A01LYSF
Lithium battery separator capacity close to 1 billion square meters, ZCKJ Tech net profit increased 49% Hay:N02ZCKJ
Al/SiC,AlSiC Metal Matrix Composites MMC,Al / Diamond composite materials, Al / Si composite materials (silicon particle reinforced composite materials), ceramic metal composite materials, ceramic reinforced aluminum alloys manufacturer Hay:D04XAFD
Special steel precision castings, electroslag fusion castings, large aluminum-magnesium alloy precision castings, titanium alloy castings, high temperature alloy castings, copper alloy castings manufacturer and supplier Hay:T02SYZZ
Liquid metal/Zirconium-based amorphous alloys,biodegradable medical magnesium alloy precision structural parts, magnesium aluminum alloy automotive precision structural parts manufacturer and supplier Hay:T02DGYA
high-strength, high-performance heat-resistant magnesium-based alloy bar / wires, cast magnesium alloy sacrificial anodes, high-purity magnesium chips for pharmaceutical and chemical products manufacturer and supplier Hay:A03HNWD
Leading Carbon fiber composite structure parts, aluminum honeycomb composite and insulation materials manufacturer and supplier Hay:F04ZZGY
High thermal conductivity graphite film, Ultra-thin heat pipe and ultra-thin soaking plate, graphite heat dissipation material, phase change super thermal conductive element manufacturer and supplier Hay:F03CZTY
High-purity single oxides, high-end permanent magnet materials, high-end luminescent materials, rare earth alloy additives, special steel energy-saving permanent magnet motors, and rare earth orbit milling blades manufacturer and supplier Hay:A06NFXT
German battery company JenaBatteries GmbH and chemical company BASF cooperate to develop new battery electrolytes
SK Siltron completes acquisition of DuPont SiC wafer business
Application of high-end quartz in aerospace: high-end demand is rapidly rising, high threshold and high gross profit
American Energy Materials Corporation (EMC) and Corning Corporation have signed a Joint Development Agreement (JDA) to develop perovskite solar cells using Corning’s flexible Willow Glass flexible glass package
Kraiburg TPE provides skin-friendly TPE materials for Protos headphones from Pfanner Schutzbekleidung GmbH
precision aluminum alloy structural parts for 3C electronic product, precision aluminum alloy stamped deep-processed parts, CNC precision aluminum alloy components and parts manufacturer and supplier Hay:T01SCFR
The new high-precision copper alloy sheet and strip production line of Chinese copper alloy rolled foil manufacturer is officially put into production A03ZJWJ
Leading 6~12μm high-performance precision ultra-thin electrolytic copper foil manufacturer and supplier Hay:A03GDJY
Analysis of industrial development of superconducting materials at home and abroad: the United States, Japan and Europe lead, and China’s practical application needs to be expanded
Smartphones cannot be free of rare earth metals
China leading boron nitride nozzles for amorphous alloy material industry, Boron nitride insulating ceramic for ultra high temperature furnace manufacturer and supplier Hay: B01SDPC
single-layer graphene oxide, multi-functional graphene composite fiber, graphene electrothermal film, graphene ester film manufacturer and supplier Hay:H02HZGX
China high-performance fiber:Carbon fiber, aramid fiber, basalt fiber and composite materials manufacturers and R & D status
High-precision drawing die and metal stamping parts,3C Electronics and communications, automotive precision metal parts manufacturer and supplier Hay:T01SZRM
Carbon-matrix composite crucible and heat preservation tube supplier Hay:F03HNJB
China Special Steel Group creates world-class vanadium-titanium materials: vanadium-titanium materials, vanadium-aluminum alloys and titanium alloy industrial bases Hay:A04HGFT
High-end carbon fiber composites industry: Chinese carbon fiber composites manufacturers accelerate closing the gap with US and Japanese carbon fiber manufacturers
China’s special alloys: vanadium-titanium fine chemical products, titanium and its special materials, new materials manufacturers of vanadium-titanium steel, 3D printing spherical titanium alloy powder preparation technology breakthrough Hay:H01AGJT
The world’s largest manufacturer of bonded NdFeB magnets,Hot-pressed NdFeB magnet and SmCo magnets,Plastic injection magnets Hay:F05CDYH
Lanthanum oxide,Cerium dioxide,Neodymium Oxide,samarium oxide, Europium oxide, Gadolinium oxide, Terbium oxide, Dysprosium oxide, Holmium oxide, Erbium oxide, Yttrium oxide, Thulium oxide, Ytterbium oxide, Lutetium oxide manufacturer Hay:F05GDGS
China’s leading carbon nanotubes, graphene, and lithium battery conductive paste manufacturer lead the development of the world’s first international standard for carbon nanotube pasteHay:H02JSTN
Ultra-high-performance special aluminum alloy extruded and forged precision parts, lightweight aluminum alloy precision parts for new energy vehicles Hay:T03FJXX
China Top Subsea Umbilical Cables,AC/DC subsea power cables, Subsea Equipment Umbilical (SEU), Subsea dynamic cables (SDC) manufacturer and supplier Hay:F04NBDF
Hydrogen storage alloy powder for nickel-hydrogen batteries and rare earth neodymium iron boron magnetic material manufacturer and supplier Hay:N01JXJW
China leading fiber-grade polyphenylene sulfide (PPS) resin, composite polyphenylene sulfide (PPS), NHU-PPA (high-temperature nylon resin) manufacturer and supplier Hay:C03ZJXH
FoamPartner provides polyurethane foam technology for Rinspeed AG`s MetroSnap concept car
Metallic NMT series engineering plastics for nano injection molding, mobile phone cover special hardened PC, IMT and LDS process materials manufacturer and supplier Hay:C03SZZS
Manufacturers of marine, petrochemical graphite sealing materials, valve seals and pipeline seals have successfully developed special nuclear reactor seals Hay:U07NBTS
The National Center for Advanced Materials Performance announced the first approved thermoplastic composite material. Toray’s low melting point polyaryletherketone composite material (LM PEAK) CETEX TC1225 composite material is listed
China top fluorite CaF2, calcium fluoride crystal, acid grade fluorite fine powder, metallurgical grade fluorite fine powder manufacturer and supplier Hay:B06ZJJS
The stainless steel products for automotive exhaust systems produced by China’s special steel manufacturers have passed GM certification Hay:A02TGJT
The Korea Institute of Science and Technology (KIST) has announced that it has successfully developed a new material, copper iodide compound (CuI), which can replace gallium nitride to produce blue LEDs
Carbon fiber helps lightweight vehicles
Carbon-carbon composite materials and silicon crystal growth carbon-carbon composite thermal field material products manufacturer and supplier Hay:D10HNNF
The “ISO International Standard for Nano-alumina Catalyst Carrier for Automotive Exhaust Gas Treatment” led by Chinese special ceramic material manufacturer successfully passed the DTS vote
KRAIBURG TPE launched a very low density, ultra-light thermoplastic elastomer TPE THERMOLAST® material can be used to produce automotive precision parts
In the “iComposite 4.0” research project, the production cost of composite parts for Schuller GmbH can be reduced by more than 50%
Manufacturers of polymer foam materials and structural foam materials establish a joint venture with CAF Power & Automation to develop comprehensive cooperation in the railway transportation industry chain Hay:C03CZTS
Tesla has doubled the key components of its electric vehicle thermal management system: cooling pipeline capacity has almost doubled, and Chinese high-end cooling pipeline manufacturers have new opportunities in electric vehicle thermal management systems
Titanium dioxide, Fluoroproducts, and Chemical Solutions provider: Chemours`s Global R & D Center “The Chemours Discovery Hub” is officially completed
US Web Industries announced the opening of the European Composites Development and Commercialization Center of Excellence (CoE) within its Omega Systèmes company in France
microfiber finished products, microfiber imitation leather fabrics, microfiber functional fabrics and microfiber dust-free clean products manufacturer and supplier Hay:D01JSJJ
China makes progress in research on metal organic framework materials (MOFs) electrocatalytic composites
Application scenarios of carbon fiber tubes
Aero Engine Titanium Aluminum Blade manufacturer and supplier Hay:T02AHYL
Graphene composite polyurethane foam applications
China leading Copper Alloy,Aluminum Alloy,Nickel Alloy series welding materials: copper, silicon bronze, aluminum bronze, tin phosphor bronze, zinc white copper, tin brass manufacturer and supplier Hay:A03AHJY
Basalt fiber yarn, basalt fiber fabric, basalt fiber composite fire insulation board manufacturer and supplier Hay:D01ZJJS
Lightweight new energy vehicles are “imminent”, and the market for non-metallic materials has a bright future
Leading rare-metals-based advanced materials: high-purity selenium, tellurium, gallium, indium, germanium, bismuth, and cadmium products manufacturer and supplier Hay:A01GDXD
New electromagnetic shielding film developed by China leading manufacturer of electromagnetic shielding film for flexible circuit board (FPC) is patented Hay:F05BDLK
JSR develops insulation materials with low dielectric constant and low dielectric loss for 5G high-speed transmission, resistant to high temperature and humidity
High-performance polyimide fiber: polyimide filament,polyimide chopped fiber, polyimide staple fiber manufacturer and supplier Hay:D01JSAS
Carbon’s light-cured epoxy resin passes auto parts test, Ford will expand cooperation
Optical film for backlight module,diffusion film,reflection film and the other high performance optical film manufacturer and supplier Hay:F06NBDX
Evonik launches a new synthetic silica SPHERILEX® that achieves excellent durability and abrasion resistance
Continuous membrane filtration (CMF), membrane bioreactor (MBR), submerged membrane filtration (SMF), two-way flow (TWF) manufacturer and supplier Hay:F07TJMT
High-energy lithium metal rechargeable battery developer Sion Power launches Licerion EV technology to fully charge lithium metal batteries in 15 minutes
Chinese optical film manufacturer invests in optical grade polyester base film projects: polarizer release film base film, polarizer protective film and high-proof explosion-proof film barrier film base film, MLCC release film base film Hay:I01SCDC
Chinese lithium battery separator manufacturer and Northvolt AB sign strategic cooperation agreement to establish lithium battery separator factory in Europe Hay:N02SZXY
Helicopter composite main rotor blades developed by Erickson Incorporated passed FAA approval
Meta-aramid (Aramid 1313, PMIA) and para-aramid (Aramid 1414, PPTA)
At least 3 carbon fiber manufacturers in China break through T1100 high-performance carbon fiber technology and break the monopoly of foreign carbon fiber technology
Glass fiber yarns,Knitted Fabrics,Aluminum water filter base fabric,Continuous Filament Mat,E-glass Fiber Grinding Wheel Reinforced Mesh,FRP products manufacturer and supplier Hay:D02JSJD
1-500kv HVAC and HVDC power accessories (termination and joint), cold and heat shrink cable accessories,grounding device, cable fittings, tools for cable laying and installation, uxiliary materials leading manufacturer and supplier Hay:F04HNCL
Manufacturers of ultra-heat-resistant and high-strength aluminum alloy conductors, carbon fiber conductors, and submarine optical fiber composite cables won the first large-length 330 kV ultra-high-voltage cable project in China Hay:F04QDHL
Heat-resistant aluminum alloy conductors, expanded-diameter conductors, intelligent temperature-measuring cables, aluminum alloy conductors, steel-core aluminum alloy conductors, large-section large-span aluminum alloy conductors manufacturer Hay:F04HZDL
Glass fiber reinforced polyurethane door and window profiles,ultra-high stress fireproof glass,thermal insulation fireproof glass leading manufacturer and supplier Hay:K06ZJDY
Heat shrinkable sleeve, heat shrinkable busbar tube, heat shrinkable cable accessories, cold shrink cable accessories leading manufacturer and supplier Hay:F03SZWE
Alternatives to TAC film: PMMA film, PET and COP film leading manufacturers and suppliers
Tesla accelerates localization of parts, and many Chinese auto parts manufacturers enter its supplier base
China Face mask to protect against Coronavirus (COVID-19), N95/Kn95 face mask with Valve FFP2 CE Face Mask, Disposable kn95 Face Mask, Anti Air Flu Facemask manufacturer and supplier
Chinese researchers develop composite ion-conducting membranes for long-life zinc-based flow batteries
Application of carbon fiber composite materials in the marine field to promote the development of marine engineering
Cemented carbide long-life micro-drill for PCB processing manufacturer and supplier Hay:T10WHJT
GKN developed 3D printed alloy steel material 20MnCr5 based on the characteristics of powder bed laser melting (L-PBF) additive manufacturing technology: a 3D printed alloy steel material suitable for electric drive power assembly parts
Ultra-thin conductive composite copper film, coated circular polarizer, OCA, LOCA, LOCA (Liquid Optical Clear Adhesive), polyimide film (PI) manufacturer and supplier Hay:I01SZYT
Manufacturers of microfiber substrates, microfiber synthetic leather, and microfiber suede expand capacity for nonwoven microfiber materials Hay:D01SHHF
The silicon anode battery technology developed by Enevate Company in the United States has successfully implemented a fast charging solution for lithium-ion electric vehicle batteries and can also easily increase the energy density.
China rare earth neodymium iron Boron Magnets | NdFeB magnetic steel, and NdFeB alloy plates leading manufacturers won Bosch and UAES best supplier awards Hay:A06JSJL
Japan has developed a new type of thermoplastic carbon fiber composite material with high strength and anti-static properties
Tesla continues to expand local auto parts suppliers in China
Toyobo plans to use Polyethylene Naphthalate; PEN as raw material for liquid crystal polarizing protective film
The leading high strength and high modulus polyimide fiber manufacturer Hay:D01JSXN
Carbon fiber sheet, carbon fiber deep-sea pressure Vessels/Cylinder/tank, UHMW-PE sheet, pipe manufacturer and supplier Hay:D02HNTF
Ceramic fiber material body, Touch ID fingerprint unlocking … Apple’s next generation Apple Watch prediction
Japanese researchers developed a rubber composite material that is as soft as rubber and has a thermal conductivity comparable to metals by combining carbon nanofibers (CNF) and carbon nanotubes (CNT)
Ultra precision watch cases, intelligent wearable structural parts and precision hardware accessories manufacturer and supplier Hay:T09DGBX
Polypropylene meltblown nonwoven fabric produced by thermoplastic elastomers and modified plastics manufacturers exceeds 120 tons per day Hay:C03SDDE
China’s high-purity monocrystalline silicon and polysilicon manufacturers achieve commercial production of granular silicon technology
Application of carbon fiber composite materials in petroleum engineering (ultra-high pressure carbon fiber continuous pipe, carbon fiber sucker rod)
American 3D printer manufacturer Essentium Inc. and chemical materials expert LEHVOSS Group work together to develop high-performance 3D printing PEEK and nylon materials
Manufacturers of magnesium alloy, aluminum titanium alloy, aluminum titanium boron, aluminum strontium alloy materials provide magnesium alloy parts for Tesla, and provide 5G base station magnesium alloy shielding covers for Huawei Hay:A03NJYH
L & L Products Reinforce Solutions: Innovative macro-composite technologies
Chinese 3D printing solution provider provides Argonne National Laboratory with intelligent 3D printer to realize the recycling of isotope molybdenum Hay:H01SHYZ
Rail transportation bearings, heavy truck bearings, wind power equipment bearings, precision machine tools and precision ball screws, precision large forgings manufacturer and supplier Hay:U03DLWF
The leading Micron Protective Film for Flexible OLED Panels supplier of BOE and Huawei Hay:C04WHHC
High-performance carbon fiber, aramid fiber composite products:building reinforcement composites, carbon fiber composite cable cores, carbon fiber synchronizer ring gears, carbon fiber medical equipment, 3C Cases and auto parts manufacturer Hay:D02JSAS
Ultra-High Molecular Weight Polyethylene(UHMWPE) fiber,ballistic materials: bullet proof vests,bulletproof and anti-stab vests,anti-cut gloves,high strength ropes manufacturer and supplier Hay:D01CDZT
Application of advanced nano-scale ceramic filter membrane in biopharmaceuticals
Molecular Sieve, Molecular Sieve Powder, Zeolite Powder, Activated Alumina leading manufacturer and supplier Hay:F07LYJL
Chinese polyimide manufacturer expands colorless polyimide film CPI project starts Hay:C04JSSA
diamond blades, diamond core bits, diamond grinding wheels, and diamond wire saw leading manufacturer and supplier Hay:T10BJAT
Polymer functional film: reflective film, backplane base film, optical base film and other special functional films leading manufacturer and supplier Hay:F06NBCY
Stainless steel, (super) duplex, corrosion resistant alloy, high/low temperature resistant alloy and titanium Seamless Piping,CRA OCTG,CRA line pipe,Clad / lined pipe,Butt Welding Pipe fittings,Flanges Top manufacturer and supplier Hay:A02ZJJL
KRAIBURG TPE’s food contact TPE materials are approved for skin and oral contact
Microvent | Microporous material:ePTFE membranes,micro-permeable membrane manufacturer and supplier Successful IPO for acoustic and ventilated solutions Hay:F08JSFY
Application of Ceramic Filter Membrane in Chemical Technology
Microelectronics PI film / polyimide film manufacturer newly built quantum carbon compound and Colorless Polyimide CPI Film project Hay:C04SZDB
LED Chips, LED Expiwafers, Sapphire Ingots, Sapphire Substrates leading manufacturer and supplier B06ZJHC
China’s high-end nuclear heat exchange material manufacturer successfully developed nuclear finned heat exchange tubes, the third in the world and the only company in China that masters the technology Hay:F03ZJRQ
Microwave ferrite device manufacturers developed ferrite circulators for 5G macro base stations Hay:F05BJHT
Chinese leading amorphous and nanocrystalline soft magnetic strips materials supplier Hay:H06BJAT
China’s first rare earth magnesium nickel-based hydrogen storage alloy electrode material production line officially put into operation Hay:N01ZKXD
China’s automobile lightweight carbon fiber composite material technology leading company successfully developed carbon fiber-aluminum alloy hybrid structure body Hay:D02JSHR
American lithium battery material company Enovix raises US $ 45 million to mass produce 3D silicon anode lithium batteries
CMP polishing pad and cleaning solution for integrated circuits, polyimide (PI) slurry for flexible OLED, color polymerized carbon powder leanding manufacturer and supplier Hay:I03HBDL
Ultrafine aluminum hydroxide, Modified ultrafine aluminum hydroxide, Nano alumina, High temperature resistant ultrafine aluminum hydroxide leading manufacturer and supplier Hay:B01LYZC
Polyplastics launches improved version of PBT to improve performance of high-pressure automotive components
China graphene modified heavy anti-corrosion coating/marine heavy-duty anti-corrosion coatings provides corrosion protection for Indonesia’s high-speed railway bridge supports Hay:M02ZKNB
High purity Cleanroom purification supplies and protective materials, cotton swabs, sticky dust products, cleanroom wiper, non-woven wiper, swab, sticky products, protective products and other cleanroom consumables manufacturer anbd supplier Hay:F07GDSY
Chinese-made special asphalt concrete is successfully applied to China’s super-large bridge: Shanghai-Nantong Yangtze River Bridge
Chinese researchers successfully developed inorganic amorphous thermoelectric material Ag2 (Te, S) with excellent flexibility and thermoelectric properties
China functional film materials, electronic grade adhesive materials, thermal management composite materials and film packaging materials leading manufacturer and supplier develop the OCA optical film market, and net profit increases by 45% Hay:F06JSSD
Spherical aluminum alloy powder:high-silicon aluminum alloy, heat-resistant aluminum alloy, damping aluminum alloy for 3D printing leading manufacturer and supplier Hay:H01BJHC
Russian scientists develop anti-delamination hybrid carbon fiber
China’s leading reflective material manufacturer and supplier ZJDM Optical Technology Group, focusing on license plate film and micro prism film Hay:F06ZJDM
China leading reflective films, backplane base films, optical base films and other special functional films manufacturer and supplier Hay:F06NBCY
Japan Materials Science and Technology will launch a new type of high heat-resistant transparent resin
China leading titanium and titanium alloy manufacturer build new production lines Hay:A04BJTY
Precise metal and non-metal components:precision metal parts, IC lead frame, metal grating slice, Laser Cutting Cover, Laser cutting and drilling of Ceramic, Laser Welding, Laser Marking, Laser Deep Engraving manufacturer and supplier Hay:T09SZGY
China electrical Silver alloy wire,Silver graphite contact materials,Chip contact,Powder metallurgy contact, Brazing material, Composite strip, multi-layer Rivet contact material & components leading manufacturer and supplier Hay:F04FDHJ
China top High-purity rhenium and rhenium alloy manufacturer Hay:A04HNZL
Rare Earth Magnetic Materials, Luminescent Materials, Metals And Alloys, Compounds, Rare And Precious Metal manufacturers show high-purity rare earth metals, alloy targets and their preparation techniques Hay:A06BJYY
China proposes a new method for preparing amorphous materials/iron-boron-aluminum (Fe-B-Al) amorphous alloy material
CETC invests 5 billion yuan to build a silicon carbide/SiC material supply base
China top enamel decorative steel plate material manufacturer wins bid for China National Convention Center Phase II enamel decorative steel plate project (2022 Beijing Winter Olympics) Hay:K01ZJKE
Wire Arc Additive Manufacturing (WAAM) Composite Manufacturing welding heat source factors and droplet transition control
Lithium battery material manufacturer invests in construction of cobalt-free superlattice battery cathode material production line Hay:N01SZZW
3D printing high-strength defect-free martensitic steel technology breakthrough, reaching 1.4GPa tensile strength
Ggraphene transparent conductive film:Graphene conductive film on silicon substrate, PET-based, quartz glass substrate, PI-based, copper foil substrate based Graphene conductive film manufacturer and supplier Hay:H02CQMX
Advanced composite materials will promote the development of smart cities
China leading Nano-barium titanate, barium carbonate electronic materials manufacturer and supplier for MLCC Hay:B08FJBS
China’s high-end magnesium alloy precision parts manufacturer entered Huawei’s supporting supply chain system and won the order for 5G base station magnesium alloy precision parts Hay: A03ZJWF
Chinese carbon fiber composite structural parts manufacturer and COMAC signed C919 large passenger aircraft composite parts cooperation agreement Hay:D02JSHS
Special Steel Group’s ultra-pure, electromagnetic shielding austenitic stainless steel, nuclear stainless steel, high creep resistance and corrosion resistant special steel, high conductivity electrode steel and other products won awards Hay:A02JGJT
Application of carbon fiber composite materials on UAVs/Unmanned Aerial Vehicles ,UAV Carbon Fiber Composite Material Parts
Capacity status of China’s leading manufacturer of carbon fiber composite materials
Polypropylene series (PP), styrene copolymer (ABS), PC / ABS, polyamide (PA), liquid crystal polymer (LCP) manufacturers invest in LCP fiber projects Hay:D02SHPL
China leading metal hose and corrugated compensator,Expansion Joint manufacturer and supplier Hay:U07NJCG
China leading rigid circuit boards (PCB/HDI), flexible circuit boards (FPC),rigid-flex circuit boards manufacturer and supplier Hay:I04HZZJ
China leading precious metal composite materials:gold base, Silver Base Contact Materials, Ag/Cu Roll Clad Strips, AgCuONiO electrical contact material,AuAgCuPd alloy brush material manufacturer and supplier Hay:F04YNGY
Chinese ultra-thin copper foil manufacturer mass-produces ultra low profile, precision copper foil and the thinnest 4.5 μm/micron lithium battery copper Hay: A03TGTB
More than 3GPa! American scientists developed a new super-hard aluminum alloy Al-X
Chinese nanomaterials technology manufacturer develops nanomeltblown nonwoven fabric
Application of nano-platinum solution catalyst and antioxidant Hay:H02ZSAK
China leading silver nanowire materials:silver nanowire flexible transparent electrode,silver nanowire ink synthesis manufacturer and supplier Hay:H02SZHK
China leading nano-barium titanate,electronic ceramic materials for MLCC,structural ceramic materials (nano zirconia and alumina) manufacturer invest high thermal conductivity ceramic substrate project Hay:I04SDGC
Chinese leading germanium-based infrared lenses manufacturer expands production capacity to help combat coronavirus outbreak Hay:F06GDSD
China leading metal-plastic bearings, self-lubricating joint bearings, bimetallic self-lubricating bearings, copper alloy inlaid self-lubricating bearings, nuclear main pump motor composite material bearings manufacturer and supplier Hay:U03DLSH
Precision graphite components, polycrystalline silicon ingot furnace hot field components manufacturer’s single crystal insulation barrel, PERC battery graphite boat and short fiber CC plate frame contribute more profits Hay:B06SZJS
China top ultra-high-purity metal sputtering targets for semiconductors manufacturer to build ultra-high-purity refractory metal powders and alloy materials production bases for electronic films Hay:A01NBJF
China top and high-end zeolite molecular sieve, liquid crystal singles display materials manufacturer and supplier Hay:B06BJWR
China leading front silver metallization paste For silicon solar Cell,solar photovoltaic conductive silver paste manufacturer and supplier Hay:I02WXDK
China leading magnesium alloys, aluminum alloys, titanium alloys and their composite materials manufacturer and supplier has successfully developed a low-cost, high thermal conductivity and ultra-high plasticity magnesium alloy Hay:A03CDTZ
China ecological functional polyurethane synthetic leather and polyurethane composite materials leading manufacturer and supplier Hay:D02HFAL
Laird introduces new SMD conductive shielding gaskets for SMT equipment circuit grounding and shielding
China leading bonding wire for electronic packaging: gold wire, silver wire, silver alloy wire, gold-silver alloy wire, copper wire, gold-palladium copper wire manufacturer and supplier I04SZJS
Tetra Pak’s aluminum foil supplier produces the world’s thinnest aluminum foil[0.0045mm] Hay:A03SHSH
Center for Translational Atomaterials: CTAM invents three-dimensional structured graphenemeta materials (SGM)
Chinese magnesium alloy manufacturer developed high-strength heat-resistant magnesium rare earth alloy large-scale complex components Hay:A03SHJD
Diamond catalyst alloy powder: NiMnCo, FeNi alloy powder manufacturer and supplier Hay:A02BJYY
Fused Silica Ceramic, quartz glass ceramics or fused silica refractories:features and applications
Diameter forged titanium thick wall pipe, forged titanium stepped precision axis and shaft, forged titanium rod, forging titanium reducer, shaped tubes manufacturer and supplier Hay:A04BJTP
Ultra-precision micro-nano scale 3D printing system and material manufacturer enhance the basic capabilities of China’s ultra-high precision manufacturing Hay:H01CQMF
The rare earth magnesium zirconium grain refiner product with a zirconium particle size of less than 600 nm Hay:A03BTXT
The energy density of the super capacitor produced by Chinese super capacitor manufacturer has surpassed the similar products of American MAXWELL
China Nonferrous Metal Research Institute Company developed 0.5-0.8μm sub-micron boehmite Hay:B06ZZZL
Carbon fiber-aluminum alloy hybrid structure body, carbon fiber composite structural parts manufacturer creates continuous carbon fiber and chopped fiber compound SMC (Sheet Molding Compound) car bumper decorative panel Hay:D02JSHR
Aluminum intermediate alloy, Aluminum base high strength cast alloy, Aluminum-based intermediate alloy ingot, Deformed aluminum alloy manufacturer and supplier Hay:A03ZZZL
China leading processless CTP Plate, optical PET film, TAC film manufacturer invests in new Processless CTP Plat production base Hay:F06ZGLK
China semi-aromatic high-temperature resistant nylon / HPN, high temperature nylons (HTN)PA6T, modified engineering plastics, composite materials manufacturer and supplier Hay:C02SHJS
China leading permanent magnet, superconducting magnet, gradient coil, NMR magnet and accessories manufacturer and supplier Hay: H07NBJX
Super strong high molecular weight polyethylene (HPPE) fiber, high performance composite material, UD armor composite material manufacturer and supplier Hay:D01ZJYJ
China leading special technical ceramics, Transparent ceramics,silicon nitride ceramics, mica ceramics, filter ceramics, zirconia ceramics, alumina ceramics, quartz ceramics manufacturer and supplier Hay:B01BJZC
China hot polycrystalline magnesium fluoride and zinc sulfide, HP ZnS, HP MgF2, CVD ZnS, CVD ZnSe, OCW manufacturer and supplier Hay:F06BJZC
China leading nanofiber air and liquid filter materials, nanofiber battery separator materials, biomedical materials manufacturer and supplier Hay:F07JSNY
China leading Isotropic bonded ndfeb magnetic powder,Hot-pressed ndfeb magnetic powder,Isotropic polymer bonded NdFeB magnets,anisotropic ndfeb magnets manufacturer and supplier Hay:F05BTKR
China leading vacuum insulating glass (VIG), Vacuum compound hollow glass, Hollow compound laminated glass, Double vacuum compound hollow glass manufacturer and supplier Hay:B04QDHD
Manufacturing Large Aperture Liquid Crystal Polarization Grating Using Liquid Crystal Micro Nano Technology Hay:F06SZLB
China leading automobile, engineering machinery and marine precision binding forgings parts and componnets manufacturer and supplier Hay:T03NJDZ
China electromagnetic shielding materials,acrylic Tape,Silicone Tape,Ultra Thin Tape,Embossed Tape,Thermal Conductive Tape,Electrical Conductive Tape,Shock Absorbtion Tape,Hay:F05SZSH
China leading alumina, zirconia, titanium oxide, silicon nitride, boron nitride, silicon carbide, transparent ceramic precision ceramic parts,components manufacturer and supplier Hay:B01JSJR
China leading Ceramic evaporation boat, Boron nitride ceramic, Boron nitride composite ceramic, Titanium diboride powder, Aluminum nitride powder, Titanium Diboride Ceramics, Aluminium nitride ceramics manufacturer and supplier Hay:B01SDPC
China leading fluorine plastic film and silicone rubber foam cotton: flame retardant silicone rubber, PTFE film, battery foam manufacturer and supplier Hay:F08SZFC
Carbon-matrix composites manufacturer expanded production capacity Hay:F03HNJB
China leading austenitic stainless steel and duplex stainless steel hollow bar,top-hammer rock drill Steel rods,hollow,solid, hot-rolled,round,hexagon bar manufacturer and supplier Hay:A02RDST
Global Boride ceramic powders, Chromium Diboride, Boron ceramic powders, Carbides ceramic powders, Nitride ceramic powders, Oxides, Silicon & Silicides ceramic powders manufacturer and supplier Hay:B01RDHO
China leading Aluminum Alloy Powder, Nickel Alloy Powder, Iron Alloy Powder, Copper & Copper Alloy Powder, Biocompatible Titanium Alloy Powder, Cobalt Alloys Powder for 3D Printing manufacturer and supplier H01TWYR
Solder Wires,Anti-oxidant Solder Bars,SnSbNi,Ultra Fine Solder Powder,Tin-based Solder Powder,SnBiCu,Metal Soft Magnetic Powder,Spherical TC4 / (Ti-6Al-4V) powder,Matrix Powder for Diamond Tools,Catalyst Powder for Synthetic Diamond manufactuerHay:H01BJKP
China leading nickel-titanium shape memory alloys,Shape Memory Alloy Wire Material,titanium alloy materials for madical devices manufacturer and supplier Hay:H05XATT
Global Leading biodegradable magnesium alloy/Resorbable Magnesium Alloy SynerMag510 manufacturer and supplier Hay:P01UKLM
China leading titanium and titanium alloy, Layered metal and clad metal, Metal fiber, tungsten-molybdenum material manufacturer and supplier Hay:A05SXXB
China has developed a magnesium-based bionic material with high damping, high energy absorption and shape memory: magnesium-nickel titanium bionic composite materials Hay:H05ZKJS
Chinese leading PTFE material manufacturer developed PTFE nano-composite material filter membrane for KN95 and medical masks manufacturer and supplier Hay: F07GZDH
China leading stainless steel and nickel alloy seamless pipes, welded pipes,fittings,and flanges manufacturer and supplier Hay:A02JSWJ
Chinese scientist’s ultra-fast high-temperature ceramic sintering: a superfast method for ceramic manufacturing could open door to AI-driven materials discovery
New progress has been made in the development of Nitinol self-expanding vascular stent 3D printing
China leading high-performance aluminum alloy materials, aluminum alloy extrusion materials, aluminum alloy precision forgings, aluminum alloy precision machined parts for Nuclear industry manufacturer and supplier Hay:A03HEZF
Metal 3D printing high-strength metal materials (such as Inconel, steel and cobalt-chromium alloy powder manufacturer Equispheres received 210 million yuan in round B financing
Graphene modified glass fiber reinforced double-color idler bracket composite tube developed by the manufacturer of Steel mesh skeleton polyethylene composite pipe achieves batch delivery Hay:D02SDFD
China leading titanium alloy precision casting, forging, MIM parts and components, titanium alloy 3D printing powder, titanium alloy tube, titanium alloy wire, titanium alloy fastener, titanium alloy profile manufacturer and supplier Hay:A04HEHT
China leading mica-based pigments Powder, Mica Pigments Powder, Pearlescent Powder, Pearls Powders, industrial grade pearl powder, automotive grade pearl material, cosmetic grade pearl materials manufacturer and supplier Hay:M07FJKC
Solvay’s new high-performance composite material lets aircraft say goodbye to the metal age
Superconductors have three basic characteristics: fully conductive, fully diamagnetic, and magnetic flux quantization / Josephson effect
High-purity metal sputtering target for semiconductor
Chinese researchers have developed a new type of “super-strength steel” that is expected to increase the strength and toughness of the alloy
Chinese precision stamping parts manufacturer provides medium and large stamping parts for Tesla Shanghai plant Hay:T01SHXP
China high strength aluminum alloy,lightweight precision aluminum alloy front and rear bumpers bracket, body parts, front subframe,panel skeleton,battery tray,chassis parts,seat rail and interior parts,engine bracket manufacturer Hay:T09SHYS
Kawasaki launched the track version of ZX-25R Racer Custom using a lot of carbon fiber material
In 2019, the global lithium salt additive/solute LiFSI production capacity is 1400 tons, China has 11,400 tons LiFSI production capacity, and the global share is nearly 75%
Arris Composites of the United States received $ 48.5 million in Series B financing, dedicated to production-level applications of continuous fiber composite 3D printing
US researchers have prepared ultra-thin stretchable electronic materials that can be used in biomedical or wearable technologies based on silver nanowires,which has gas permeability
The high temperature performance that silicon nitride combined with silicon carbide refractories must have
China leading special alloy pipes, high-silicon heat-resistant steel plate, S30432 and S31042 steel pipes, S30815 steel plate manufacturer and supplier for ultra-supercritical boilers Hay:A02SHBG
China leading optical materials: optical grade light guide plates, Light Diffuser Plates, diaphragm materials manufacturer and supplier Hay:F06QDGJ
Cellular ceramic architectures produced by hybrid additive manufacturing applied in automotive catalytic converter carrier
The lightweight, efficient and flexible thermal insulation composite protective structure material developed by the Chinese scientific research team was successfully applied to the Changzheng No. 5 launch vehicle
Automobile precision stamping parts manufacturer provides chair stampings and airbag shell stampings for TESLA-MOEDL3 / Y Hay:T01WXXW
China top SiC Wafer, Silicon Carbide (SiC) Ingot, Moissanite, SiC Single Crystal manufacturer and supplier Hay:I01BJTK
China thermal management materials: Thermal Pad, Thermal Grease, Thermal Glue, Thermal Insulating Sheet, Thermal Condutive Sealant manufacturer and supplier Hay:F03SZAC
China leading high-purity molybdenum / copper / titanium metal target manufacturers increase production capacity A01LYSF
China leading reduced iron powder, water atomized steel powder and non-segregated mixed powder manufacturer and supplier Hay:H01SDLW
China leading precision bearing steel tube, special steel tube for automobile airbag gas generator manufacturer and supplier Hay:A02ZJWZ
China leading High Purity Aluminum, Electrode Aluminum Foil, Precision Aluminum bars, wire, billets, rod, extruded profiles manufacturer and supplier Hay:A03XJZH
The Soudure Group has collaborated with institutions such as the Arkema Institute to develop innovative welding solutions (ISW) for thermoplastic composite parts
Chinese researchers successfully developed natural nanocellulose-like high-performance bionic structural materials
Chinese researchers invented a new method of arc additive manufacturing of metal materials: Compulsively Constricted Wire Arc Additive Manufacturing: CC-WAAM
China leading LBO, BBO, Nd: YVO4, KTP, Nd: YLF, Nd: YAG, KDP Crystals, Sapphire, high precision spherical, cylindrical and flat optics components manufacturer and supplier Hay:F06FJFJ
Automotive aluminum alloy precision die casting manufacturer develops and produces high-end aluminum alloy body structure products for Tesla Hay:T02GDWC
Aerospace additive manufacturing 3 typical materials and application challenges
Chinese carbon fiber composite material manufacturer successfully developed all carbon fiber composite material suspension frame structure for China Maglev Train Project
Tesla’s new patent: single crystal NCA/Nickel-Cobalt-Aluminum Electrodes without impurities makes the battery life up to 1 million miles
Spyros Panopoulos launches carbon fiber 3D printed connecting rods, ceramic 3D printed pistons and other innovative components
Chinese researchers make important progress in low-cost high-strength magnesium alloy research
NPG Asia Materials: China Research 3D Printing High Strength and High Plasticity Silver Alloy
Evonik launches implantable medical grade PEEK filament Vestakeep i4 3DF for 3D printing
scandium-containing aluminum alloy manufacturer Hay:A03ZZQY
Mitsubishi Chemical to acquire Gelest, an American silicon material company
Chinese magnesium aluminum alloy manufacturer develops high strength aluminum magnesium alloy powder that can be used for additive manufacturing Hay:H01ZCGY
China’s leading manufacturer of high-performance permanent ferrite wet-pressed magnetic tiles for permanent magnet micro special motors successfully IPO Hay:F05AHLC
Interface-modulated nanocomposites based on polypropylene for high-temperature energy storage
Research and Markets: 2020-2025 carbon fiber market compound annual growth rate will exceed 12%
Hexcel’s HexPly M79 carbon fiber prepreg successfully passed the DNV GL certification for ships after being used in ultralight aircraft
2020 JEC Award: Arkema won a joint award on two major projects related to thermoplastic composites
Flinders University researchers discover new self-healing rubber with adhesive function
DynaBio, focused on bio-nanomaterials and nanomedical technology, receives strategic investment of nearly US $ 10 million
MakerBot, a subsidiary of 3D printing expert Stratasys, launches the METHOD carbon fiber printing version, which can produce automotive carbon fiber reinforced nylon material parts through 3D printing technology
China leading precision parts manufacturer and supplier Hay:T09SDFG
China Polyurethane Material Technology Corporation successfully developed polyurethane self-skin antibacterial combination product (PUKJ) Hay:C02HNLM
China leading precision molds,automotive precision aluminum alloy die-casting parts, precision stamping parts, precision forging parts Hay:T09GDML
China leading high precision 9Gr18Mo stainless steel ball, bearing steel balls, noiseless steel balls, automobile steel balls, hub steel balls and windmill steel balls manufacturer build high-precision rolling ball laboratory Hay:A03JSLX
China’s high-strength precision stainless steel strip manufacturer successfully developed hot-rolled carbon steel-stainless steel composite steel bars for reinforced concrete Hay:D04GXLG
Global leading composite structural parts supplier Park Aerospace launched a new epoxy curing system, which can be used with different specifications of carbon fiber
The lanthanide rare earth doped metal oxide (Ln-IZO) target produced by the manufacturer and supplier of ITO sputtering target, gallium, indium, germanium, bismuth, and cadmium products has been successfully delivered Hay: A01GDXD
High thermal conductivity graphite material, electromagnetic compatibility, electromagnetic shielding material manufacturer provides ultra-thick 3D graphene heat dissipation material for Huawei MatePad Pro 5G Hay:F03BJZS
Two PET foam core material production lines built by PET foam manufacturer put into production Hay:C02SDMD
China’s leading automotive precision stamping parts manufacturer and Amsted Industries subsidiary MEANS INDUSTRIES INC. Establish a joint venture in China Hay:T01WXWT
Polyimide substrate, COF flexible packaging substrate FPC and IC substrate supplier for Apple, Samsung, Panasonic Hay:I04SZDB
China leading rare earth magnesium alloy, rare earth magnesium silicon alloy spheroidized cored wire, yttrium-based heavy rare earth spheroidizing agent, rare earth metal, yttrium aluminum intermediate alloy manufacturer and supplier Hay:A03JXLY
China leading automotive precision castings: caliper body, caliper bracket, pump body pump cover, steering knuckle, steering gear housing, main bearing housing manufacturer and supplier Hay:T02HBJS
China leading U-shaped ribs, large diameter square pipe(one seam/double seams),LSAW pipe, complex profile shaped steel manufacturer and supplier Hay:A02JSGJ
China is the main growth point of the global superalloy / high temperature alloys market
China leading Airtight material: PVC inflatable boat material, Drop stitch material, PVC flexible material: PVC flex banner, PVC tarpaulin manufacturer and supplier Hay: D01ZJHS
China leading high-strength ultra-fine diamond wires,steel cords for tires, alloy coated steel wire strands,PC steel strands,ultra-fine steel wires manufacturer and supplier Hay:B06HNHX
Globl leading ultra Pure Copper Products:Oxygen-free coppers and copper alloys Sputtering targets, Copper anodes,High-purity copper pellets, Ultra-pure coppers cryogenic components Hay:A03UKLT
Nylon 3D printing materials: Ultimaker Nylon, PVA, Ultimaker PLA, Ultimaker ABS, TPU 95A, PP, Ultimaker Breakaway Hay:H01NLUL
Heat pipe / Vapor Chamber: VC: penetrated into mobile phones and 5G base stations, Chinese manufacturers achieved technological breakthroughs
Semi-solid die casting + inflation plate 5G base station housing
Chinese researchers have prepared the largest single crystal copper foil in the world by pre-oxidizing and annealing the polycrystalline copper foil to form a large single crystal foil with a high index crystal plane.
China accelerates the development of high-performance fibers: high-performance carbon fibers, para-aramid, and UHMWPE/ultra-high molecular weight polyethylene fibers
China high-strength precipitation hardening stainless steel manufacturer has developed 2Cr13 stainless steel wire rod steelHay:A02SGJT
China leading acoustics, Precision metal structural parts, touch feedback solutions, optical solutions, MEMS/Sensor solutions, RF solution providers have developed glass-plastic hybrid precision lenses based on WLG wafer-level glass technology Hay:F06SZRS
High-resistance coated high-aluminum-zinc-aluminum-magnesium color-coated plate put into production by Chinese special alloy plate manufacturer Hay:A02BGHS
China’s leading manufacturer of precision stamping dies and precision machined parts successfully developed floating clamps with high hardness, wear resistance, and anti-skid coating Hay:T10YZHD
China leading electronics, medical precision parts manufacturer built New manufacturing base for metal and plastic precision structural parts Hay:T09SDMT
More and more durable glass fiber composite material storage tank
China Carbon Fiber Composites Technology Corporation invested US$100 million to build a high-performance aviation composite parts mass production base Hay:D02JSHR
Multi-scale design Ti3C2Tx MXene/AgNW multifunctional transparent conductive material with ultra-high shielding effectiveness
Chinese aluminum titanium boron and aluminum strontium alloys manufacturer Hay:A05NJYH
Application of flax fiber and basalt fiber composite material on yacht
Common problems of thermoforming of titanium alloy rods
Ensinger, a manufacturer of high-performance composite materials, introduces continuous fiber-reinforced thermoplastic sheets with the addition of three new materials
China leading pumps, valves, and pipe fittings manufacturer invest in the construction of high corrosion-resistant copper-based alloy material production base Hay:A03AHKS
China leading KPf backsheet, POE adhesive film, UV-Reducing Tape manufacturer expands POE packaging film production line Hay:C04SZSW
China leading automotive rubber seals and composite materials products, high-speed rail vibration and noise reduction rubber products, coal mine/fire pipeline sealing manufacturer and supplier Hay:C05QDHL
Tesla’s new patent: more efficient cooling process to produce high-strength aluminum components to improve the quality of the frame
Chinese leading automobile precision aluminum alloy die casting manufacturer invests in magnesium alloy precision auto parts and components project Hay:T02CQYS
China’s leading silicon carbide ceramic manufacturer invests in high-performance pressureless sintered silicon carbide materials and high-performance pressureless sintered silicon carbide ceramic projects Hay:B01NMXY
Chinese researchers discovered the failure mechanism of superalloys caused by thermal cycling under no external load
Corning and Pfizer Announce Corning Valor® Glass Bottle Packaging Supply Agreement
Nidec will build a new electric vehicle motor R&D base in China
In the 5G era, the battle for the dominance of high-performance modified plastics, high-performance ceramics, glass and other non-metallic materials and graphene materials is about to start
China leading Biaxial Stretched Polyimide F46 Film Sintering Magnet Wire manufacturer’s split-type large-scale wind power stator coil was delivered to European customers Hay:F05SDZY
Gogoro uses carbon fiber reinforced composite materials to create ultra-light electric bicycles
Chinese researchers develop green preparation and strengthening technology for high-performance polypropylene microcellular foaming materials
Application of carbon nanotubes in lithium ion batteries
China’s high-end titanium dioxide manufacturer adopts advanced sulfuric acid method to produce high-weather resistance plastic grade titanium dioxide Hay:B08YNDH
China leading chip packaging materials,high-frequency high-speed copper clad plate functional fillers for 5G, Boehmite manufacturers invest in new boehmite production line for power battery coated separatorHay:B06AHYS
China leading silver alloy wire electrical contact materials,silver graphite manufacturer built new production line for silver alloy wires,fine-grained silver,silver tin oxide,silver cadmium oxide,silver nickel,silver tin oxide indium oxide Hay:F04FDHJ
Manufacturer of rare earth based SCR flue gas denitration catalyst completes pilot production of modified powder of rare earth based low temperature denitration catalyst
Polylactic Acid: PLA is a bio-based material with great potential, some of PLA bio-based plastics technology companies in China have broken the monopoly of international giants.
China’s leading stainless steel rod and wire plate, steel pipe and precision/ultrafine steel wire manufacturer successfully developed steel for automotive engine fasteners: nickel-based alloy SUH660 Hay:A02ZJQS
The anti-bacterial stainless steel developed by the manufacturer of ultra-pure, anti-electromagnetic shielding austenitic stainless steel for electronic products has been successfully delivered to customers Hay:A02JGJT
Japan has developed a low-pressure acid ammonia heating (LPAAT) method that can mass-produce gallium nitride single crystal substrates with a diameter of more than 2 inches
China leading nickel alloy and stainless steel wire rods and bars, special alloy materials:iron-nickel-based alloy, super austenitic stainless steel, urea grade stainless steel, stainless steel for surgical implants manufacturer Hay:A02ZJYX
NSC develops a flexible glass substrate LCD panel with minimum curvature R100mm
China leading high precision stamping parts and components, injection molding & injection molded plastic parts, embedded molding, precision heterosexual lens,Optical Dome Cover manufacturer and supplier Hay:T07NBBL
Semiconductor lead frame, LED lead frame, COF substrate manufacturers build high-end semiconductor lead frame production base project Hay:I04SZCS
China leading marine crankshaft,heavy-duty crankshaft,light-duty crankshaft, passenger car crankshaft,connecting rods,castings and forgings manufactand supplier urer Hay:T03SDTR
China`s leading precision aluminum alloy die-casting parts, precision injection molded Plastic parts, high-precision injection moulds, die-casting moulds manufacturer and supplier Hay:T02ZJHS
China`s leading aluminum-magnesium alloy precision structural parts manufacturer builds new magnesium alloy, aluminum alloy, zinc alloy precision structural parts production base Hay:T02SZJL
China leading aluminum alloy precision cylinder head,aluminum alloy housings and light-weight aluminum alloy gearboxes manufacturer and supplier Hay:T02WZRM
Chinese graphene technology companies mass produce 8-inch graphene single crystal wafers and 4-inch germanium-based graphene wafers Hay:H02ZKSH
Chinese special steel manufacturer releases new high-strength wear-resistant steel products, applied to concrete mixer trucks and dump trucks Hay:A02SHBG
China large and complex automotive aluminum alloy precision die-casting parts, components and precision metal machining parts:automobile engine cylinder heads, gearbox shells, and automotive body structural parts manufacturer and supplier Hay:T02SZYD
Dow introduced the new DOWSIL™ TC-4040 distributable thermal pad, a thermally conductive interface material (TIM) that is easy to dispense, resists collapse, and provides strong thermal conductivity
China leading Precision Magnesium Alloy Computer Case, Aluminum Alloy Mobile Phone Case, Computer Metal Parts and accessories, Mobile Phone Metal Parts manufacturer and supplier Hay:T02GDYD
China silicon carbide ceramic material company successfully mass-produced SiC silicon carbide ceramic fiber Hay:B01NBZX
Germany builds Carbonhaus, the world’s first carbon fiber reinforced concrete building
Chinese Graphene thermal conductive film, graphene thermal conductive sheet, graphene foam film manufacturer obtains strategic investment from Huawei Hay:F03CZFX
China’s high-precision copper alloy strips, copper alloy tubes, high-precision copper strips and copper bars produced by wire manufacturers have been used in new energy vehicles such as Tesla Hay:A03NBJT
China Special Steel Technology Group successfully developed high wear-resistant, corrosion-resistant rare earth steel plate, rare earth steel bar, III-generation rare earth rail Hay:A02BTGT
China Vacuum Low Temperature Stepper Motor, Servo Motor, Special Stepper Driver, Vacuum Resolver, Vacuum Gearbox, Motion Controller
China leading nanocomposite zirconia, alumina, high-purity nano-barium titanate basic powder manufacturer invested 1.5 billion to invest in MLCC electronic ceramic dielectric material project Hay:B01SDGC
DSM launched the ultra high molecular weight polyethylene/UHMWPE product portfolio Trosar, which can be used for soft and hard ballistic UD, ropes, fishing nets,
China leading high-precision infrared lenses, machine vision lenses, special lenses and optoelectronic optical lenses manufacturer undertake the national major scientific research project Mars exploration and spaceborne series optical lenses Hay:F06FJFG
Precision electronic stamping parts:precision electronic components, connectors and Electromagnetic shields manufacturer and supplier Hay:T01SHLM
China’s leading lithium ion battery anode material manufacturer extends the industry chain: develop coated membrane, lithium battery equipment, nano-alumina, aluminum plastic film products Hay:N01SHPT
China anti-radiation and anti-static ultra-high-strength polyethylene, aramid bullet-proof, basalt manufacturer launched “flame-retardant and heat-resistant protective clothing fabric” and “wall mildew and crack-resistant cloth” Hay:D01JSHY
Incorporating graphene into ceramic materials, Brown University has developed the toughest solid electrolyte to date
VELO3D unsupported metal 3D printing technology published
Global leading Copper foil for electric vehicle batteries, Ultra-low-illumination copper foil, Ultra-thin foil manufacturer and supplier Hay:A03SKDS
Shape Memory Alloys: Features and applications of SMA
Actively deformable flexible electronic device based on transparent shape memory polyimide electrode
The world’s first basalt continuous fiber kiln kiln production line developed by China successfully ignited Hay:D01SCBX
China leading superalloy precision forgings and castings manufacturer invests in complex structure thin-wall superalloy projects Hay:A04JSTN
China’s leading magnesium-aluminum alloy precision die-casting manufacturer provides lithium-ion battery die-casting for BYD and Tesla Hay:T02AHYY
Graphene heat dissipation materials/thermal management materials have been innovatively applied in Huawei 5G products
Chinese supplier newly built Nanocrystalline and amorphous magnetic materials production line for wireless charging Hay:F05FJHL
Unsupported 3D printing technology leader VELO3D wins $20 million aviation order
Multifunctional high-performance composite materials can help realize the structural supercapacitor of electric vehicles
Global leading ultra-thin(1.5-5μm) copper foil, Electro-deposited Copper Foil, Treated Rolled Copper Foil manufacturer and supplier Hay:A03JPJX
Teijin European carbon fiber Tenax®-EHT C604 6mm capacity increased by 40%
Global Leading High purity Tantalum and Niobium Powders,Ta2O5, Nb2O5, Nb(OH)5,Ta, Nb, TiNbTa, Ti42Nb, TaW,TaCl5, NbCl5, WCl6, MoCl5 Manufacturer and Supplier Hay:A01JPJX
DSM takes over part of Clariant’s 3D printing business
China’s class Ⅲ, HTE, LP,RTF, VLPand HVLP and 8μm, 6μm double bare electrolytic copper foil manufacturer`s annual output of 8,000 tons of high-precision second-phase copper foil project put into production Hay:A03GDCH
The world’s largest lithium battery electrolytic copper foil/HVLP copper foil manufacturer’s capacity expansion project is laid Hay:A03NDTB
Chinese rare earth material manufacturer and rare earth magnetic material manufacturer set up a joint venture to produce NdFeB quick-setting thin strip alloy sheet Hay:F05AHBG
silicon carbide high-power hydrogen fuel cell DC/DC converter Hay:N06HNZC
China leading BOPE and Oxo-Biodegradable BOPP films ,BOPP Glueless Lamination Films,BOPP Wrap around Label Pearlised films,Holographic Base Film manufacturer and supplier Hay:C04GDDG
China leading aluminum alloys, magnesium alloys, and zinc alloys precision castings manufacturer invested in new production lines Hay:T02NBJS
Chinese leading Magnesium alloy precision die casting manufacturer wins Tesla Model Y seat magnesium alloy seat bracket order Hay:A03SHMM
China leading Super Alloy,amorphous & Nano-crystalline Materials, Refractory Alloy, Magnetic Materials & Products,Tungsten Alloy,Molybdenum alloy manufacturer and supplier Hay:A04BJAT
German scientists invent a lower cost and efficient method for producing graphene nanoribbons
The new hydrogel developed by Duke University can be compared with real cartilage in knee replacement surgery
Chinese nano silver wire conductive film manufacturer provides high-performance transparent conductive film overall solution Hay:F04NBKT
What factors should be paid attention to when designing the sandwich connection of carbon fiber foam sandwich panels
The world’s first basalt fiber 2400-hole leaky plate drawing intelligent pool kiln production line was put into production Hay:D01SCQY
The “polylactic acid continuous extrusion high-rate foaming material and its heat-resistant product molding technology” project developed by the Chinese bio-based fiber manufacturer passed the appraisal Hay:C03BJHT
GEHR uses BASF’s Ultramid® Advanced N5H UN polyphthalamide (PPA) to produce extruded profiles
China’s wearable bipolar rechargeable aluminum battery research progress
China high grade fr-4 epoxy glass fiber cloth copper clad foil laminate, core boards and bonding sheets for multilayer PCB manufacturer Successful IPO and would invest in the construction of halogen-free copper clad laminate production base Hay:I04SHNY
China leading high-performance X-ray tube anode target, plasma nozzle electrode, refractory metal alloy precision parts manufacturer and supplier Hay:A01ZZWL
China`s leading Nickel titanium shape memory alloy flat wire, super elastic nickel titanium frame material, bio titanium material manufacturer and supplier Hay:H05SZXH
BASF upgrades its solvent-free polyurethane synthetic leather solution-the newly designed Haptex® 2.0
Ceramic material resistant to 3000℃ ablation
Following Samsung Galaxy Z Flip,Huawei and Xiaomi’s upcoming foldables could use ultra-thin glass too
The proportion of servers in the PCB business continues to increase, and PCB manufacturers will build new factories to expand production capacity Hay:I04ZSN
Polypropylene microporous foam material (MPP) is used for the first time in the field of 5G radomes and communication equipment
Dental giant Straumann will promote the application of ceramic 3D printing technology in production
China`s leading high-strength precision titanium alloy fasteners and titanium alloy structural parts manufacturers invest in the construction of the second phase of titanium alloy fasteners Hay:A04SDLT
China flexible carbon fiber, Carbon-based high-strength carbon fiber reinforced carbon rope, Asphalt-based solidified carbon fiber reinforced graphite insulation felt, Graphite felt for flow battery electrode manufacturer and supplier Hay:D10JXNK
The Chinese carbon fiber composite material manufacturer has successfully developed a new type of flexible carbon fiber material, which can withstand high temperature flame of 1500 ℃ and strong acid and alkali Hay:D02JXNK
AkzoNobel, PPG, Tiger Paint`s Supplier for Polyester Resin for Powder Coatings, Indoor type polyester resins, Super durable polyester resins, Amorphous polyester resins, Hydroxylated polyester resins, Thermoset polyester resins Hay:C03ZJGH
China carbon fiber reinforced ceramic matrix composites supplier Hay:D05HNFR
China ITO target, molybdenum target,aluminum target,copper target, silicon target manufacturers break through the key core technology of ITO target, accelerate the localization of important materials in the display panel industry Hay:A01FJAS
China leading sintered NdFeB magnets, bonded NdFeB magnets, soft ferrite, amorphous, nanocrystalline soft magnetic materials manufacturer and rare earth material manufacturer establish a joint venture Hay:F05BJZK
KIST developed flame retardant carbon fiber reinforced plastic (CFRP)
Researchers at Samsung Advanced Technology Institute (SAIT) collaborated with UNIST and Cambridge University to discover a new material called amorphous boron nitride (a-BN)
The lightest electromagnetic shielding material in the world:which is based on MXene’s ultra-light imitation angry gel
Researchers use interlayer materials to greatly increase the transmittance of conductive plastics
Application of graphene in the field of biological detection
VESTAS`s wind power carbon fiber beam supplier, China’s leading carbon fiber composite technology company released the first half of the financial report Hay:D02WHGW
Setforge, a subsidiary of French Farinia GroUP, uses 3D printed carbon fiber composites to replace metals
Copper foil for BCF lithium battery, FCF flexible copper foil, HVLP (Hyper Very Low Profile) copper foils, HTE copper foil, RTF copper foil, special application copper foil manufacturer and supplier Hay:A03JXJT
Forging instead of casting,Chinese discs,seamless rings,cylinders,and special shaped forgings manufacturers have successfully created
Acoustic e-PTFE Membrane and e-PTEF Ventilated Products manufacturer Hay:F07JSFY
China’s high-end aluminum foil, aluminum strip, and aluminum plate manufacturers introduce the German SMS Siemag 2800mm CVC six-roll cold rolling mill, which can produce super wide aluminum plate with a width of 2650mm Hay:A03HNMT
China`s leading Laptop,cell phone aluminum alloy shell,aluminum alloy radiator, Surface tablet aluminum alloy stent manufacturer and supplier Hay:T06WXYQ
Toray and German Lilium signed an agreement to provide carbon fiber materials for flying cars
Chinese polyimide PI film manufacturer realizes commercial production of PI film for artificial graphite thermal conductive film and FCCL Hay:C04JSZT
China leading titanium alloy materials, layered metal composite materials, rare and precious metal materials, metal fibers, tungsten and molybdenum materials manufactrurer began to develop metal bipolar plates for hydrogen fuel cells Hay:N01SXXB
China Advanced Ceramic Materials Technology Corporation successfully mass-produced ultra-light high-temperature insulation materials: alumina ceramic fibers Hay:B01SDDH
China’s leading China’s leading amorphous and nanocrystalline metal soft magnetic materials, amorphous and nanocrystalline strips, amorphous and nanocrystalline electronic iron cores, amorphous transformer iron cores manufacturer and supplier Hay:F05FSZY
Chinese special steel manufacturer has developed 550 MPa class steel for car seat slide rails Hay:A02HBGT
Structural ceramic material often used in electric heating elements: molybdenum disilicide
Honeywell and SLM Solutions developed a thick layer printing process for aluminum alloy F357
China ferrite,austenite and martensite antibacterial stainless steel,304Cu antibacterial stainless steel manufacturer and supplier Hay:A02BJPJ
Chinese researchers have developed a new type of ultra-wideband transparent electromagnetic protection material
The technology of proton exchange membrane for hydrogen fuel cell developed by the manufacturer of perfluorosulfonic acid ion exchange membrane in China was rewarded Hay:N02JSKR
China’s graphite sagger crucibles manufacturer for graphitization and purification of lithium battery anode materials successfully IPO Hay:B06SXSY
China leading precision ultra-thin stainless steel strips,stainless steel sheet,stainless steel coil,INOX steel manufacturer and supplier Hay:A02NBQY
Global leading SiC Coated Carbon,C/C Composite,Solid SiC manufacturer and supplier Hay:B06JPDH
China leading high strength & anti-fatigue carbon steel bolts and nuts, high strength superalloy bolts and nuts manufacturer and supplier Hay:U07SDQD
Boeing certifies titanium Ti64 and aluminum alloy AlSi10Mg 3D printing metal powder materials, and Tekna becomes the first global supplier
Henkel develops medical-grade polymers xMED412 for SLA 3D printers
China leading nanoporous thermal insulation material:silica aerogel,Aerogel Insulation Blanket,Aerogel Removable Covers,Aerogel Powders and Coatings,Aerogel fabric manufacturer and supplier Hay:F03ZJNN
China leading Buffer stoppers and supporting plastic,Poured with polyurethane elastomer,Polyurethane elastomer wear-resistant material manufacturer and supplier Hay:C02SHKZ
The manufacturer of diamond spinel, ultrafine diamond single crystal, and diamond fine powder for diamond cutting Wire/Diamond Wire Sawing invested 590 million yuan to expand the production base Hay:B06HNLL
China’s leading hot-dip tinned copper alloy material manufacturer released copper-nickel silicon alloy, hot-dip tinned copper alloy strip material and tinned silver material at electronica China 2020 Hay:F04HKKM
China Top PFSA Proton Exchange Membrane manufacturer and supplier Hay:N02SDDY
Chinese manufacturer of high-performance thermal insulation materials have developed thermal insulation materials for the Mars rover: ultra-light honeycomb reinforced thermal insulation materials, continuous fiber reinforced thermal insulation Hay:F08ZGHT
The graphene conductive paste production base invested by China’s leading lithium-ion battery cathode and anode material manufacturers realizes commercial production Hay:F04NBSY
The world’s largest glass fiber manufacturer releases ultra-high modulus glass fiber products Hay:D01JSJT
ISO 10119:2002-Carbon fibre-Determination of density standard compilation unit developed
NEG successfully developed an ultra-thin folding screen glass cover with a thickness of only 25μm
A Chinese high-performance ceramic composite material manufacturer developed high-strength and tough aluminum-based silicon carbide composite structural parts for the China Tianwen-1 Mars Rover Hay:D04ZKJS
China`s elastic alloys, low-expansion alloys,fixed-expansion alloys Manufacturer released corrosion-resistant steel rail U68CuCr for high-speed railways Hay:A02SHBG
China leading silicon carbide (SiC) and gallium nitride (GaN) substrate manufacturer invests 700 million yuan to build SiC substrate projects Hay:I04ZJLX
High modulus, high mechanical strength and high rigidity: new carbon fiber reinforced nylon
China’s leading automotive precision casting parts: chassis parts and engine parts: commercial vehicle brake drums, wheels, cylinder blocks, and cylinder head manufacturer obtain Bosch Preferred Suppler Status Hay:Q02SDHX
China leading rigid circuit boards,flexible circuit boards and metal base circuit boards:conventional PCB,Flex PCB,Metal Base PCB,Rigid-Flex PCB, HDI/SLP PCB, High Layer Count/HLC PCB,RF PCB,Cooper Inlay manufacturer and supplier Hay:I04SZJW
UMF Corp. Partners With Universal Fiber Systems To Manufacture High-Performance Rechargeable Antimicrobial Micrillon® Microdenier Fibers
Chinese scientists:Discover a new deformation mechanism of Bulk metallic glass composites/Amorphous metal
China’s leading manufacturer of hot-based zinc-aluminum-magnesium, hot-rolled substrate structural-grade zinc-aluminum-magnesium alloys released 450g/㎡ ultra-thick coating zinc-aluminum-magnesium products Hay:A03HGTG
Chinese metal matrix composite material manufacturer provides SiC particle-reinforced aluminum matrix composite materials,high-strength and high-toughness aluminum alloy materials for China’s Mars probe and BeiDou GPS Hay:D04SHJD
China High-Strength Steel Technology Corporation has developed high-strength automobile beam steel to promote the lightweight of heavy-duty trucks Hay:A02HBTG
The electric thermite smelting method of vanadium-aluminum alloy developed by China’s vanadium-titanium alloy material technology enterprise was authorized by the national invention patent Hay:A03HGCG
A Chinese nanofunctional material manufacturer successfully developed a nanofunctional material meltblown cloth and mask that can absorb and inactivate the new coronavirus (COVID-19)/SARS-CoV-2 Hay:F07DLSM
China’s leading Precision metal parts,high-precision stamping parts manufacturer has continuously become the Preferred Supplier of Continental AG and BorgWarner United Transmission Systems Hay:T01SZRM
A Chinese carbon fiber composite material manufacturer provides a large diameter and thick carbon fiber composite light honeycomb sandwich heat shield for the Long March 5B launch vehicle
China’s leading manufacturer and supplier of high-performance alloy materials and products such as cast superalloys, deformed superalloys, and special stainless steels Hay:A04JSTN
Diffusion-prism composite film (DOP), prism-prism composite film (POP), microlens-prism composite film (MOP), prism-microlens composite film (POM), the only supplier of key QLED materials in China Hay:F06NBJZ
Application of Glass Mat Reinforced Thermorplastic-GMT in Automobile
China leading MHZ Crystals,Oscillators Crystals,TCXO,TSX,KHZ Crystals,Metal Can Crystals manufacturer and supplier Hay:B06GDHL
China leading Piezoelectric crystal materials: lithium tantalate (LT) and lithium niobate (LN) crystals and blackened polished wafers manufacturer and supplier Hay:I01ZJTT
Flavin Mononucleotide-Mediated Formation of Highly Electrically Conductive Hierarchical Monoclinic Multiwalled Carbon NanotubePolyamide 6 Nanocomposites
The Fine Metal Mask (FMM) for OLED Displays and CVD Mask projects invested by Chinese leading FMM manufacturer are put into production Hay:I03AHJY
The Chinese electromagnetic shielding film manufacturer broke the electromagnetic shielding material technology monopoly of Japanese companies in only two years, and became the world’s second largest electromagnetic shielding material giant Hay:F05GZFB
Japan’s Teijin high-performance para-aramid fiber Technora provides soft landing for NASA’s Mars rover
Hexcel and GA-ASI cooperate to apply carbon fiber reinforced polyetherketoneketone (PEKK)/carbon fiber reinforced thermoplastic parts additive manufacturing technology to UAV systems
Chinese researchers have made progress in the study of physical-based models and softening mechanisms of ultra-high-strength aluminum alloy/Al-Zn-Mg-Cu (7xxx series aluminum) alloy hot working
China’s leading neutral borosilicate glass bottle, pharmaceutical glass packaging products manufacturer and its competitors Hay:F08SDYB
China’s high-end NdFeB permanent magnet material manufacturer’s production capacity reaches 34,000 tons/year, occupying most of China’s high and mid-end permanent magnet material market Hay:A06BFXT
Porsche,Trumpf and Mahler collaborate to 3D print aluminum pistons to improve engine performance/reduce fuel consumption
AZLA’s SednaEarfit XELASTEC headphones will use KRAIBURG TPE thermoplastic elastomer material
The functional polyester film project invested and constructed by China’s leading optical film and functional BoPET film manufacturer was put into production Hay:C04JSYX
China’s leading manufacturer of motor rotor cores and precision stamping parts introduces Nidec Minster Corporation high-speed precision punching machine Hay:T01NJJC
Lubrizol increases investment in thermoplastic polyurethane (TPU) globally to support the growth of TPU paint protection film
South Korea POSTECH has successfully accelerated the manufacturing process of Metamaterial and developed Chaoying lens, which is expected to significantly reduce the weight of VR/AR lenses
Chinese researchers make progress in Chemical vapor deposition of layered two-dimensional MoSi2N4 materials
Titanium carbonitride electromagnetic shielding material,keep us away from electromagnetic radiation pollution
Chinese manufacturers of wear-resistant steel and high-toughness steel successfully developed 1300MPa grade ultra-high strength square tube steel Hay:A02HGHG
DSM launched a new type of polypropylene material: a glass fiber filled polypropylene material-Arnilene AM6001 GF (G), used for fused particle manufacturing (FGF) 3D printing technology
The high-pressure die-cast automatic transmission housing project invested by Aisin in China will be put into production before the end of this year
China’s leading alloy soft magnetic material, Ultrathin silicon steel, urtra-thin silicon steel core manufacturer and supplier Hay:F05WHFH
High-strength stainless steel
China`s leading manufacturers of quartz crystal resonators, miniature tuning fork crystals, and micro-nano-scale /Thermistorquartz crystal manufacturer has passed the tests of many mainstream communication manufacturers Hay:B04HBTJ
BASF PU and TPU materials used in Timberland PRO Reaxion safety shoes
Vestas`s carbon fiber supplier,China’s leading manufacturer of 50K large tow carbon fiber, carbon fiber reinforced composite continuous sucker rods launches a new 15,000-ton carbon fiber production base project Hay:D02JLHX
China’s leading copper alloy material manufacturer releases new products: high corrosion resistance and high energy efficiency copper pipes, lead-free environmentally friendly copper rods, copper chromium zirconium wire Hay:A03NBJT
The entrepreneurial team of Yangzhou University launched PU gearless gears, the market prospects may be very broad
What is degradable plastic
China`s leading Multilayer PCB,HDI,FPC and Rigid-Flex circuit board manufacturer and supplier Hay:I04SZWZ
Introduction to the new project of China’s leading manufacturer of biodegradable polyester PBAT/PBS materials Hay:C03SHTC
LANXESS launches Adiprene Green, a bio-based prepolymer production line, to reduce polyurethane carbon footprint
China’s leading manufacturer of flexible optical conductive materials, ITO conductive films, high-transmittance optical conductive film materials, PET high-temperature protective films, and IM anti-shading films successfully IPO Hay:F04JSRJ
China’s leading manufacturer of sun-shading fabric:screen fabrics from polyester PVC and fiberglass PVC composition, PVC and PVC-free blackouts Hay:D01NBXF
Sumitomo invests in 3D printing metal parts company SINTAVIA to promote 3D printing applications
The performance of major international carbon fiber composite materials companies:SGL Carbon,Hexion Inc. Core Molding Technologies declines in 2020
Ceramic Injection Molding:CIM Technology
China’s leading manufacturer of mechanical seals,dry gas seals,seal auxiliary (control) systems,rotary jet pumps,rubber seals,shield machine seals Hay:U07SCZM
Fraunhofer IWS uses green laser to melt pure copper to achieve 3D printing of complex products
U.K. develops graphene-based catalysts that can be made into hydrogen fuel cells with longer service life
China’s leading EMI electromagnetic interference shielding material and microwave absorbing material integrated solution provider Hay:F05SZFR
China’s leading manufacturer of ultra-high-strength steel plates, wear-resistant steel plates, and weather-resistant steel plates successfully developed Rare earth bearing steel,rare earth gear steel,rare earth roll steel Hay:A02SDGT
BASF’s 3D printing division Forward AM and material and system manufacturer Photocentric jointly developed a new product “Ultracur3D Powered by Photocentric”
China’s leading manufacturer of high strength,high toughness, extra thick metal-core plastic-coated steel wire ropes,alloy-coated steel wire ropes:steel wire ropes for bridges,steel wire ropes for port ships, steel wire ropes for petroleum Hay:A02GZGS
Chinese leading manufacturer of hot melt film-laminated steel,hot melt film-laminated aluminium and other metal coated composite products,Film laminated steel coil,Film Laminated Steel Sheet Hay:D04SHSJ
Chinese researchers have made progress in the research of new multi-level nanostructured magnesium alloys
Tesla CEO Elon Musk: Using Amprius`s silicon nanowires to make battery negative electrodes, Tesla is expected to mass-produce batteries with a longer life and a 50% increase in energy density within 3-4 years.
China’s leading lightweight honeycomb composite material manufacturer:
Nano SiO2 Aerogel Composite Material
LANXESS: Release of Durethan®, a recycled glass fiber-reinforced polyamide for the automotive industry
Hexcel launches carbon fiber/PEEK composite material with electromagnetic shielding effect: HexAM® material technology—HexPEKK®EM
China’s leading manufacturer of liquid metal,zirconium-based amorphous alloy, Zr-Cu-Ni-Ag-Y amorphous alloy precision die casting,and biodegradable medical magnesium alloy successfully developed liquid metal lock washers Hay:H06DGYA
China’s leading manufacturer of aluminum brazing materials and tubes for heat exchangers, condenser headers, evaporator D-tubes, reducing headers, radiator flat tubes, intercooler rectangular tubes Hay:F03SHSX
CELLINK, a Swedish 3D bioprinter and material developer, acquires Scienion, a precision dispensing 3D printing company
Desktop metal 3D printing Desktop Metal will go public to raise 4 billion, the market value of 17 billion first in the world
China’s leading electronic thin carrier tape packaging material, optical film manufacturer plans to build an annual production project of 36,000 tons of optical grade BOPET film and 6,000 tons of CPP protective film Hay:C04ZJJM
The world’s first high-performance basalt continuous fiber 10,000-ton tank kiln production line ignited and put into production Hay:D01SCBX
Preparation and application of magnesium oxide ceramic/MgO materials
Single crystal superalloys: applications of extreme high-temperature alloys in aviation
China’s leading manufacturer of ultra-pure superalloys,large complex thin-walled high-temperature structural castings,deformed &casting superalloys,whose aerospace revenue accounts for 53.70% of their main business revenues Hay:A04JSTN
The ultra-thin, high-strength,tough and wear-resistant steel,ultra-high-strength quenched and tempered structural steel plate manufacturing technology won the China Metallurgical Science and Technology Award 2020 Hay:A02HNHL
China top manufacturer of Basalt Roving, Basalt Fiber Cloth, Basalt Fiber Rebar, Basalt chopped fiber, Basalt Fiber Geogrid, Basalt fiber needled mat, Basalt fiber high temperature filter bag, Basalt fiber light weight composite products Hay:D01JLTX
The only national key laboratory in the field of high-performance metal materials for China’s marine equipment was established in AGJT Special Steel Technology Group Hay:A02AGJT
Global and China Superalloy Development Status and Market Prospect Analysis
China’s leading liquid crystal polymer (LCP) material manufacturer began to develop liquid crystal polymer (LCP) film, polyaryl ether ketone (PAEK) film and other new polymer film materials Hay:C04SZWT
China’s leading manufacturer of large size precision forgings,large size precision castings,hoist hooks Hay:T03ZJBD
Samsung Electronics cooperates with Corning to officially start independent development of UTG/Ultra Thin Glass
Commercial production of high-strength automobile spring flat steel developed by China’s leading automobile leaf spring and spring flat steel manufacturer Hay:A02JXFD
China’s Northeastern University Institute of Low-Carbon Steel Frontier Technology was established to focus on breakthroughs in key common technologies for low-carbon steel smelting
Global leading high purity alumina/Aluminium Oxide (Al2O3) supplier Hay:B01HNTM
Chinese leading manufacturer of super corrosion-resistant zinc-aluminum-magnesium alloy coated steel sheet (strip),Galvanized Strip,Aluminum Laminated Film Hay:A02WXHJ
Bosch’s Chinese high-performance magnetic steel supplier provides rare earth permanent magnetic material components for Volkswagen Group MEB pure electric platform project Hay:F05JXJL
BASF and Maincal collaborate to launch South America’s first safety shoes made of Infinergy®
China’s leading manufacturer of high-precision lead frame copper strip,special-shaped copper strip,oxygen-free copper strip,bronze strip for AC terminal strip,high tin phosphor bronze strip,wrought magnesium alloy Hay:A03ZLLY
High-performance composite materials have broad development prospects in the field of rail transit equipment
China leading manufacturer of indium tin oxide (ITO) target materials for TFT-LCD display panels industry Hay:A01GXJL
Copper Clad Laminate/CCL:The core material for PCB manufacturing
International and Chinese electronic glass market output and competition pattern analysis, China’s electronic glass industry has accelerated the process of import substitution
China’s leading manufacturer of MW-class precision wind turbine spindle and large precision forgings below φ2500mm Hay:T03SDJL
Toyochem, a member of the Toyo Ink Group, launches a new biodegradable polyurethane adhesive
China is vigorously developing third-generation semiconductor materials: Silicon carbide (SiC) and gallium nitride (GaN)
Chinese semiconductor CMP materials: CMP polishing liquid and CMP polishing liquid market size and development trend analysis
Chinese leading manufacturer of Charcoal/charcoal pad,Carbon/carbon hot pressing die,Charcoal/charcoal stirring rod,Hot-pressing moulds,Heat field of silicon carbide coated single crystal furnace Hay:D05XACM
Ten potential applications of graphene
China’s leading manufacturer of aluminum alloy composite plate and foil for brazing, aluminum-steel composite strip, multi-metal composite materials Hay:D08WXYB
China’s leading 8-inch silicon-based gallium nitride power device manufacturer invested 6 billion to build the world`s largest 8-inch gallium nitride wafer factory Hay:I06ZHYN
China’s leading manufacturer of ultraviolet optical processing and coating optical materials, and large-aperture high-precision lenses successfully developed the core precision optical components of lithography machines Hay:F06NJML
Rice University develops carbon nanotube fibers with higher strength and higher conductivity
The thin-film Liquid Crystal Polymer/LCP production line invested by China’s leading Liquid Crystal Polymer/LCP material manufacturer was put into operation Hay:C02SZWT
Chinese leading manufacturer of Tungsten and Alloys,Tungsten Heavy Alloy,Molybdenum and Alloys,Rhenium and Alloys,Tantalum and Niobium,Advanced Ceramics Hay:A04BJAT
Chinese researchers have developed highly conductive, highly elastic TiO2 nanofiber aerogel
Borealis, The leading manufacturer of Polyolefins, completed the acquisition of DYM Solution Co. Ltd. to consolidate its position in the field of wires and cables
American researchers develop a new 3D printing process that can simultaneously print automobile/aircraft parts with multiple materials
SCHOTT has improved the performance level of the structured glass wafer assembly FLEXINITY® to an ultra-tight tolerance of less than 20 microns (± 10 microns), and the thickness ranges from ultra-thin thickness 100 μm to thin thickness 3.3 mm
Ascend acquires Italian polyamide and masterbatch suppliers Poliblend and Esseti Plast to expand global footprint
The precision copper strip and bronze wire supplier Hay:A03ZGYS
High-temperature ceramic matrix composite parts supplier Hay:D05XAXY
China’s leading precision aluminum alloy product Rapid Slurry Forming (RSF) technology provider and manufacturer invests in the construction of precision parts, optical devices, and precision structural parts production lines Hay:T02SZYB
China’s nano SiC ceramic particle reinforced aluminum matrix composite manufacturer builds a new production lines for SiC ceramic reinforced aluminum matrix composite structure and 3D printing powder material Hay:D04AHTL
Chinese high-performance para-aramid fiber[aramid fiber 1414]manufacturer`s technological breakthrough history Hay:D01ZHLX
Lubrizol enhances online sales in China, and the first batch of 12 ESTANE® thermoplastic polyurethane (TPU) models has been launched
ultra-thin wide width stainless steel foil supplier Hay:A05SXTG
Zymergen`s Hyaline series revolutionary bio-based films combining unprecedented optical transparency and mechanical strength
China Special Steel Technology Group has developed the world’s flattest, ultra-high-strength yoke steel plate, realizing the localization of yoke steel for large hydropower stations in China Hay:F05WHGT
The nano-level multilayer composite titanium nitride protective layer technology of China Ceramic Film Technology Corporation was selected as “Suzhou Material Innovation Plan Project” Hay:B01SZHR
Chinese researchers have prepared Er3+‐doped CaF2 polycrystalline ceramic with perfect transparency for mid‐infrared laser
AZL and International Industry Alliance: Audi, Asahi Kasai, Covestro, EconCore, IPTE and Johns Manville will jointly develop multi-material battery casings for electric vehicles
China`s manufacturer of Sepiolite heavy metal stabilizing agent,sepiolite pesticide carrier,aldehyde-absorbing sepiolite flour,sepiolite feed mold release agent,sepiolite for diatom mud,sepiolite functional wall material,sepiolite air purifier Hay:B06XTJT
Chinese leading manufacturer of high-purity Selenium,Tellurium,Gallium, Indium,gallium,indium,germanium,bismuth,cadmium,cobalt Acquires the ceramic target business of Samsung Corning Advanced Glass Hay:A01GDXD
Chinese leading manufacturer of high-conductivity alloy, high-conductivity free-cutting alloy,wear-resistant corrosion-resistant alloy, lead-free free-cutting alloy, high-speed free-cutting alloy, high-precision malleable alloy Hay:F04NBBW
China’s leading manufacturer of wind power generation glass fiber composite material blades, wind turbine glass fiber composite material nacelle cover, glass fiber composite material net profit surged 106.41% in the first half of the year Hay:D02JSJD
China’s leading high-precision and ultra-thin copper foil for lithium batteries, TWS headset high-precision electronic copper foil manufacturer successfully mass-produced 4.5μm ultra-thin copper foil for lithium batteries Hay:A03GDCH
WACKER opens a new global technology center for thermal interface materials in Shanghai
Carbon fiber roller product introduction
The new high-toughness polyester engineering plastic developed by China’s leading high-density polyethylene special black material manufacturer won the CIIF New Material Award Hay:C02SHSH
China’s leading manufacturer of perfluorinated ion membrane, high-performance fuel cell proton membrane Hay:C04SDDY
Tekhnodinamika, a subsidiary of Russia’s Rostec, is about to mass produce a new ceramic composite armor that has stronger protection than steel armor
China’s leading manufacturer of high-voltage cable insulation material, butadiene rubber LCBR SBS, medical infusion polypropylene, high permeability polypropylene for syringes, high flow polypropylene, ternary copolymer polypropylene Hay:C05YSSH
Chinese ultra-high-strength steel manufacturer successfully mass-produces hot-rolled vanadium-titanium-molybdenum microalloy steel sheets for automotive structural parts Hay:A02SCPG
Chinese leading manufacturer of ITO Ink,Silver conductive ink,Carbon conductive ink Hay:F04HKZN
Chinese leading manufacturer of Precision Ceramic Injection Moulding (CIM),Metal injection moulding (MIM) complex-shaped parts & components Hay:T05SGDY
Chinese researchers have developed a low-cost magnesium alloy with ultra-high workability: Mg-Al-Ca ternary magnesium alloy
China’s leading Vapor Chamber: VC manufacturer’s products have been widely used in high-power LEDs, IGBI, 5G mobile phones and high-end laptops Hay:F03NBBW
China`s leading manufacturer of nickel-platinum alloy targets, high-purity nickel, high-purity copper, high-purity cobalt, and high-purity manganese Hay:A01GSJC
Magnesium-rare earth alloy rolled sheet Hay:A03ZZQY
China’s leading manufacturer of Aluminum Alloy High Pressure Die Casting/HPDC Parts:automotive engine precision aluminum alloy die castings develops aluminum alloy precision structural parts for the battery and NDE motor housing die castings Hay:T02GDHT
Clariant will build a new CATOFIN catalyst production base in China
The development status of China’s foundry superalloy, wrought superalloy, powder metallurgy superalloy, and dispersion strengthened superalloy ODS industry
The new carbon fiber developed by China’s leading PAN-based ultra-high modulus carbon fiber manufacturer has been successfully used in ultra-lightweight high-end badminton rackets and High pressure hydrogen storage bottle Hay:D02NBCL
China’s leading manufacturer of high-precision glass aspheric lens, optical prism, glass wafer successfully IPO Hay:F06ZJLT
Polymaker provides new industrial polycarbonate material for MakerBot LABS experimental extruder, a subsidiary of Stratasys
Avient showcases wire and cable solutions at Wire China 2020
China’s leading galvanized steel sheet, ultra-pure, electromagnetic shielding austenitic stainless steel manufacturer developed super corrosion-resistant zinc-aluminum-magnesium alloy coated steel sheet to help Huawei’s 5G base stations Hay:A02JGJT
China’s leading manufacturers of nano-zirconia and zirconium oxychloride increase investment in amorphous alloys (zirconium-based liquid metal), magnesium and aluminum alloys Hay:B01FJSX
Chinese leading innovator and manufacturer of Fuel cell component,proton exchange membrane fuel cells (PEM-FC),Continuously Stripe-coated CCM,Laminated Plate-MEAs,Frame MEAs (single or double) Hay:N01GZHJ
The copper-carbon nanotube composite material developed by ORNL researchers provides the possibility for the promotion of advanced electric vehicles
China’s ultra-thin heat pipe and ultra-thin Vapor Chamber: VC industry development analysis
China’s leading manufacturer of Hygienic Material parts:Ultra-clean stainless steel fluid pipeline system and key components of ultra-high vacuum system applied in semiconductor equipments of AMAT, Lam Research, MCU, SK Hynix Hay:A02KSXL
Zigzag metal sGNR: Graphene nanoribbon: GNR are all metallic and may be used to make graphene circuits
China’s leading precision titanium alloy tube and ultra-thin precision titanium alloy strip manufacturer provides precision titanium alloy tubes for many leading steam turbine manufacturers in China Hay:A04SCHJ
American ORNL has developed a new type of copper/carbon nanotube composite material for automobiles
Toray sets up Toray AmberTool® HX56 tooling low temperature curing epoxy prepreg distribution center in the U.S. to serve the local motorsports market
China’s leading manufacturer of high-corrosion, high-strength and high-toughness seamless steel pipes, oil casing pipes, offshore structural pipes, titanium alloy pipes Hay:A02TJGG
ALCE 2020 Sub-venue: Development and Application of Lightweight and High-strength Magnesium Alloy Materials
German researchers successfully prepared ultra-light COF/rGO aerogel
China’s rail transit may bring 50,000 tons of demand for carbon fiber composites every year
China’s precision ultra-thin steel plate manufacturer successfully mass-produced ultra-thin [0.16mm] cold-rolled steel plate Hay:A04JLJL
Chinese leading manufacturer of Ultra-precision aluminum and stainless steel structural parts, aluminum and stainless steel heaters for semiconductor wafer,etching machine, MOCVD, ICP equipment Hay:T09JSXF
U.S. paint and specialty materials supplier PPG will develop new lithium battery electrodes
Chinese leading manufacturer of Hydraulic Cylinders,Valves,Pumps, Motors,High Precision Casting,Cold-drawn Seamless Tube Hay:R08JSHL
Chinese leading manufacturer of Toothed tooth sleeve,Pick,Diamond drill ,Drill bit drill pipe Hay:Y05AHAD
China’s largest PEEK manufacturer successfully IPO, plans to invest in a deep processing project with an annual output of 5,000 tons of polyetheretherketone (PEEK) Hay:C02JLZY
Chinese researchers successfully realized the efficient and low-cost preparation of graphene laminate films with ultra-high thermal conductivity
China’s basalt continuous fiber technology company achieves a milestone leap in the industry Hay:D01SCQY
China’s leading manufacturer of Large Seamless Rolled Ring Forgings with high temperature alloy,titanium alloy, aluminum alloy, magnesium alloy, stainless steel materials Hay:T03WXPK
China’s leading manufacturer of titanium alloy strips, thin-walled titanium welded pipes, and large titanium alloy forgings Hay:A04HNJT
China’s leading manufacturer of continuous boron nitride fibers,ceramic wave-transmitting materials, ceramic anti-insulation materials Hay:B01SDTC
After CoorsTek,Toshiba,Third in the world,the first and only one supplier and manufacturer of hot isostatic pressing silicon nitride ceramic ball,Silicon nitride ceramic precision structural parts in China Hay:B01ZCDH
Chinese high-transmittance and low-emissivity glass coating and laminated composite film transparent conductive glass won the award Hay:B04WHZB
Evonik’s new PEEK formulation can promote bone implant fusion, and the biomaterial will be sold under the VESTAKEEP Fusion brand
China’s leading manufacturer of Heat-resistant and wear-resistant castings, precision machined parts Hay:T02AHCQ
Optical material manufacturer Pixelligent Technologies launched a new high-performance refractive material PixcleTitana
Chinese leading manufacturer of large-scale volleyball slewing bearing,double volleyball type, cross-roller type, three-row column type, double row ball type, double-rotation type, waist drum type volleyball slewing bearing Hay:T03AHFY
Metal 3D printing company Fabrisonic uses UAM (Ultrasonic Additive Manufacturing) process to fuse different amorphous alloys into multi-metal coatings to create a metal mixture with higher strength and corrosion resistance
Chinese leading manufacturer of Tellurium copper alloy, silicon bronze alloy, copper-nickel silicon alloy, chromium-zirconium copper alloy, chromium bronze alloy, aluminum bronze alloy, silver-copper alloy, tin bronze alloy Hay:A03JSTJ
Third-generation semiconductors Semi-polar gallium nitride (GaN) material accelerate Micro LED applications
Chinese largest aviation carbon fiber composite material, T1100 carbon fiber manufacturer provides carbon fiber composite structural parts for China’s AR-500 series UAVs Hay:D02WHGW
Comparison of 11 characteristics of carbon fiber, aramid fiber and glass fiber
China`s leading gallium nitride (GaN) and silicon carbide (SiC) manufacturers successfully developed the fourth-generation semiconductor material:gallium oxide (Ga2O3) Hay:I04BJJZ
Chinese researchers have discovered infrared stealth nanofiber composites with thermal adaptability and mechanical flexibility
China gradually strengthens isothermal forging and multi-directional die forging technology and production capacity to meet the high-end demand for metal forgings with high forming and difficult to process
China will vigorously develop high-performance non-ferrous metals and alloy
Forging and casting near-net forming technologies become mainstream, transforming to a one-stop solution provider
The new brand Avoury under Melitta Single Portions cooperates with SABIC to develop recycled polypropylene (PP) into organic tea capsules using its TRUCIRCLE solutions and services
The high-aluminum and ultra-thin electronic glass production line invested by the Chinese electronic glass and solar photovoltaic glass giant was successfully put into operation Hay:B04GDNB
How to solve the lack of compactness and internal defects of 3D printed ceramic parts
China’s leading manufacturer of ferritic antibacterial stainless steel and ultra-high carbon martensitic stainless steel provides duplex stainless steel plate products for the world’s super project-Baihetan Hydropower Station Hay:A02JGJT
Chinese leading manufacturer of high precision & high density multilayer PCBs, 5G PCB, Line Card, Backplane, HDI Rigid-flex PCB invested 2.28 billion yuan to build a 5G high-end circuit board R&D and production base Hay:I04DGSY
Rhenium Alloys and Molybdenum parts supplier Hay:A04BJAT
China’s leading manufacturer of silicon carbide semiconductor materials successfully developed 8-inch silicon carbide (SiC) substrates Hay:I01SXLK
Chinese researchers use Laser-based additive manufacturing/3D printing technology to develop high-strength CNTs/Ti composites/titanium-based component manufacturing
The development of CuMo/Cu (CMC) heat sink composite materials
Chinese leading manufacturer of graphene nano silver wire composite flexible transparent conductive film, silver nano wire Hay:F04HFWJ
Chinese leading manufacturer of mineral cover glass, touch screen, optical glass for cameras, metal casing for mobiles, luxury sapphire crystal cover for watches and ceramic parts Hay:F06TWBE
China’s leading soft-pack battery aluminum-plastic film manufacturer successfully developed a new dry-heat composite process for aluminum-plastic film Hay:N04JXMG
China’s leading adsorption molecular sieve manufacturer’s newly added molded molecular sieve (including molecular sieve activation powder) will have a total production capacity of 44,000 tons Hay:F07LYJL
InoBat launches the world’s first “smart” electric car battery, increasing electric car battery life by 20%
Chinese High Performance Alloy Manufacturer Achieved Commercial Production of Inconel 625 Nickel-Matrix Corrosion Resistant Alloy Foil/Diaphragm Hay:A03CQYJ
Chinese leading manufacturer of rare earth polishing materials, rare earth metals, rare earth fluorides, rare earth catalytic materials, high purity rare earths Hay:A06BTMX
China’s high-purity quartz material manufacturer builds new 12-inch high-purity quartz product production lines for the semiconductor industry Hay:B04BJKD
China`s leading manufacturer of micro special motors enters Tesla`s supply chain and will provide Tesla with seat drive motors Hay:U01ZJFZ
Anti-corrosion technology of “permanent magnet neodymium iron boron”
The carbon fiber reinforced thermoplastic woven fabric and ThermoPlastic Consolidated Laminate produced by Japan’s Teijin have been certified by Collins Aerospace, the world’s leading manufacturer of aircraft structural components
The rare earth magnesium-nickel-based hydrogen storage alloy electrode production line built by China’s rare earth alloy material technology enterprise officially put into operation Hay:F05BGXT
AOC completes acquisition of Ashland’s maleic anhydride business
Chinese company build high-toughness aluminum alloy and heat-resistant magnesium alloy precision structural parts based on large-scale complex thin-wall light alloy precision casting technology Hay:T02XAJH
Axus Technolog, the world’s leading CMP supplier, announced a partnership with Compound Photonics to accelerate the promotion of 5μm Micro LED
The big move of the EU’s graphene flagship plan: 20 million euros to establish a pilot line for 2D graphene-based electronic devices
BASF’s food contactable polyethersulfone (PESU) material is used to manufacture key components of De’Longhi’s coffee machine
The water pressure-resistant sound-permeable membrane supplier Hay:F07JSFY
Chinese manufacturers of semiconductor materials for integrated circuits and semiconductor power chips strive to seize global market share Hay:I01HZLA
The supply and demand pattern of high-end copper foil products in the lithium battery industry is expected to improve
China’s leading film-level liquid crystal polymer (LCP) material, PTFE material manufacturer’s annual production of 5,000 tons of liquid crystal polymer (LCP) material project completed and put into production Hay:C02SZWT
China chip packaging soldering materials, BGA (Ball Grid Array) chip packaging microsphere manufacturer, the world’s second manufacturer with CCGA solder column mass production capacity Hay:I04LYHP
Bioceramics: inert ceramic materials
Apple’s new iPhone 12 line-up comes with a ceramic-hardened display,named Ceramic Shield
GE Binder Jet Metal 3D Printing Launches Partner Program
LeddarTech collaborates with Flex to develop lidar sensors for ADAS and autonomous vehicles
Among the top 200 Apple suppliers in 2020, China accounts for 86, accounting for 43%
Black phosphorus + graphite composite material: The black phosphorus composite material connected by carbon-phosphorus covalent bonds has a more stable structure and higher lithium ion transmission capacity
Apple’s precision stamping parts, precision MIM parts, precision die casting parts manufacturer and supplier Hay:T01JSKS
China’s silicon carbide substrate and silicon carbide epitaxial wafer industrialization process
China’s leading precision silicon nitride ceramic structural parts; silicon nitride powder manufacturer showcases silicon nitride grading wheels at China New Materials Exhibition Hay:B01XJJS
Magnetic drive soft materials, make metamaterials come alive
Electrolube develops plant-based epoxy resin and polyurethane resin
Chinese leading manufacturer of infrared laser materials:Germanium ,Zinc Selenide,Zinc Sulfide,Gallium Arsenide,Silicon,Chalcogenide Glass for laser systems and thermal imaging systems Hay:F06GDSD
Lockheed selects Relativity Space 3D printed rocket as NASA experimental mission partner
Apple, Samsung’s supplier, China’s leading manufacturer of thermally conductive tape precision process application materials, electronic composite functional materials and optoelectronic display module materials successfully IPO Hay:F03SZSH
Cabot Corporation announced the launch of a new elastomeric composite (E2C) solution DX9640, which can extend tire life by 20%
French rubber sealing company Rustin introduces Stratasys FDM 3D printer
The future of automated production of aviation composites: thermoset composites or thermoplastic composites?
Chinese researchers have made important progress in the field of boron nitride aerogel films and their phase change composite materials
WACKER launches new self-adhesive liquid silicone rubber for polycarbonate substrates
Chinese leading manufacturer of precision aviation structural parts, hydraulic parts, system parts, flight control parts, photoelectric connectors, aircraft engine parts Hay:T09CDXS
NREL develops thermochromic window technology
After Audi demonstrated the DML digital matrix headlight technology to be applied to e-tron, BMW also introduced the innovative LaserLight technology to the market
Quantitative study of dislocation and twin evolution under high-speed deformation of magnesium alloy
China’s leading flexible optical conductive material manufacturer invests nearly 500 million yuan to expand production of ITO conductive film Hay:F04JSRJ
Tepex continuous fiber reinforced thermoplastic composite: flame-retardant thermoplastic composite with a polyamide 6 matrix
China’s leading Micro LED micro display chip manufacturer plans to mass produce 0.13-inch Micro LED Hay:I06SHJD
The Biofreedom Ultra cobalt-chromium alloy stent developed by a Chinese medical technology company has obtained the CE certificate and has the access conditions for the EU market
Chinese researchers have made progress in the research of high thermal conductivity silicon nitride ceramics
Chinese leading manufacturer of copolymer coated steel/stainless steel/aluminum/copper/semi-conductive aluminum tape and EAA film for cable industry Hay:D04WXSD
China`s leading manufacturer of Adsorption and separation material, homogeneous resin Break the monopoly of Dow and LANXESS Hay:F07XALX
Monash University develops high-strength aluminum alloy to increase fatigue life by 25 times
China’s leading electronic glass and photovoltaic glass manufacturer successfully mass-produced ultra-thin high-aluminum photovoltaic glass with a thickness of 0.25 mm Hay:B04SXNB
Chinese leading manufacturer of Vinyl Electrical Insulation Tape,Waterproof Rubber Tape,Silicone Cold Shrink Tube with Mastic,Cold Shrink Tube & Foam Tape Hay:F03SZKC
China’s advanced ceramic company mass produce high-temperature alumina continuous fibers for alumina fiber reinforced composite structural parts Hay:B01SHRR
A new type of defect-resistant 3D printing cobalt-nickel-based superalloy
Chinese leading manufacturer of Nano-modified super aluminum alloy, aluminum-bismuth alloy containing nanoparticles, high-strength super copper, high-temperature special super copper alloy, high-strength super magnesium alloy Hay:A03SZMT
China’s graphite anode material technology company builds a new fuel cell flexible graphite bipolar plate, high-purity graphene, and ultra-high-purity graphite production base for POSCO CHEMTECH Hay:N01SDNH
China’s leading manufacturer of insulating sleeves,thermal expansion materials builds a new production base for insulating materials Hay:F04ZJBF
Chinese researchers have developed Large-scale flexible and transparent electronics based on monolayer molybdenum disulfide field-effect transistors
Global leading manufacturer of Precision ceramic structural parts,Low Coefficient of Thermal Expansion Ceramic Hay:B03HZDH
Chinese Aluminum Bronze Alloy supplier and manufacturer Hay:A03ZZQY
Chinese leading Antimony bronze alloy supplier and manufacturer Hay:A03ZZQY
Chinese leading Niobium titanium alloy,lithium niobate crystal wafer manufacturer and supplier Hay:A03ZGYS
Chinese leading magnesium aluminum alloy supplier and manufacturer Hay:H06DGYA
Second to Futamura Cellophane Film,Chinese top Medicine packing cellophane film,Cellulose tape manufacturer and supplier Hay:F08SDHL
Chinese leading Refined molybdenum plate manufacturer and supplier Hay:A01JDMY
China Top Large Size Seamless Ring Rolling Pipe Flange,Pylon Flange,Disc Forgings Manufacturer and Supplier Hay:T03SDYL
China leading gas-atomized silicon aluminum powder manufacturer and supplier Hay:F05QDYL
China leading CVD silicon carbide nanowires/whiskers manufacturer and supplier Hay:D05HNDZ
Chinese metal-matrix composite materials technology company developed the tungsten copper composite materials for the US SpaceX project Hay:D04JSDQ
China leading titanium and titanium alloys manufacturer and supplier Hay:A02SHBG
China top aluminum-matrix composite materials: aluminum/stainless clad steel composite materials manufacturer and supplier Hay:D04JSYB
China leading copper-matrix bimetallic plates manufacturer and supplier Hay:A03JHCK
China leading Tinned Copper Alloy Strips manufacturer and supplier Hay:A03AHXK
Chinese leading manufacturer and supplier of high-purity stainless steel components for vacuum chamber,sanitary pump Hay:U07KSXL
China Special Steel Plant provides precision mirror corrosion-resistant alloy steel for China’s key chemical projects Hay:A02PGTG
Shin-Etsu Chemical expands production capacity of semiconductor photosensitive materials (photoresist) in factories in Japan and Taiwan
Chinese companies build production bases for semiconductor high-purity aluminum alloy targets and high-purity powder synthetic targets Hay:A01ZJZC
China’s leading marine valve manufacturer delivers LNG marine cryogenic valves to international customers Hay:U05SHHD
The ISO/TC256 international standard proposal prepared by the Chinese nano pyrogenic silica powder manufacturer was reviewed and passed successfully at the annual meeting Hay:B04HBHF
The preparation technology of ultra-thin oriented electrical steel soft magnetic materials developed by Chinese enterprises has reached the international advanced level Hay:F05BTWF
SK Innovation launches 800km battery life, fast charging for 20 minutes
Chinese leading manufacturer of Precision optical components in artificial intelligence, big data field and optical electronics components Hay:F06HZMD
China’s Top Manufacturer of Precision Quartz Products, Quartz Fiber Invests in TFT-LCD and Semiconductor Photomasks Project Hay:I03HBFL
ENGEL all-electric injection molding machine helps the production of small precision parts will be more cost-effective
Huawei and other Chinese technology companies vigorously develop graphene fast charging technology
Chinese leading manufacturer of heat-resistant pressure nickel chromium alloy,corrosion resistant alloy steel casting,High temperature alloy precision forged pipe Hay:A04JSBX
China’s precision copper rod manufacturer orders the CONTIROD copper wire rod continuous casting and rolling production line from SMS-Meer Hay:A03JXJT
Tetra pak company`s aluminum foil compound paper supplier,Chinese leading manufacturer of aluminum foil Hay:F08GDWS
Multi-element zinc alloy products developed by China’s leading non-ferrous metal technology companies successfully passed the EU ROHS and REACH certifications Hay:A03AHYS
Chinese precision parts manufacturer provides Tesla with precision bearing parts and precision pivots Hay:T09WXBS
China’s high-strength liquid forging aluminum alloy structural parts project was put into production Hay:T05SDHH
AMD acquires Xilinx in a $35 billion all-stock transaction
Hyundai Steel and ArcelorMittal sign a hot-formed steel patent license agreement
The battery structural parts of Nuvera Fuel Cell Company uses BASF’s Ultramid® Advanced high-temperature nylon PPA material
In the field of lithium batteries, carbon nanotube conductive agent VS traditional conductive agent
Chinese Ultra-high-strength, corrosion-resistant 7055 aluminum alloy sheet manufacturer broke the monopoly of Alcoa and UACJ and successfully developed 6XXX series aluminium alloys for the semiconductor field Hay:A03GXNN
China’s leading manufacturer of audio sensor network main chips, video sensor network chips, and battery power management chips successfully IPO
China’s leading graphene material technology company successfully developed graphene coatings:Graphene composite ceramic corrosion resistant resin coating Hay:H02SZXW
Osram intends to purchase Lumina MOCVD Systems for Photonics Applications from Veeco
Application of large-scale die forging hydraulic press in aviation industry
Covestro Dureflex® and Platilon® TPU films are used in automotive parts
China’s leading manufacturer of precision planetary reducers and RV reducers benefit from the growth of the robot market Hay:U02NBZD
Marvell announced the acquisition of US analog chip manufacturer Inphi for a total price of US$10 billion
China’s leading styrene-based thermoplastic elastomer (TPES) manufacturer builds PBAT, PBS, PBT biodegradable materials industrial park Hay:C03NBCH
Celanese expands UHMWPE production to meet electric vehicle battery demand
China’s aviation-grade titanium alloy and high-temperature alloy powder material manufacturers build a new metal 3D printing powder production base and put into operation Hay:A05ZHMT
China’s leading precision casting manufacturer starts digital upgrade Hay:T02SDBL
Chinese manufacturer of special alloy materials builds a new special steel bar production line for diesel engines high-pressure common rail and valve Hay:A02ZJYX
China’s leading power semiconductor device technology leader, the high-end power semiconductor field gradually realizes import substitution Hay:I06JLHW
The Carlyle Group agrees to acquire Flender GmbH, a mechanical drive and electric drive company from Siemens
Chinese researchers have developed a multifunctional black bioactive ceramic material: Black Bioceramics
Hisense released its first ultrasound product, cutting into the high-end medical imaging equipment track
Application and development trend of high-performance aramid fiber
Over 80% of listed companies in China’s PCB industry achieved revenue growth in the first three quarters
Chinese leading manufacturer of Precision medical Device parts, precision stamping parts and precision stamping die parts Hay:T09JSYD
The surge in surgical and logistics robots drives a 32% increase in global service robot sales
PSA Group has sold some of its Faurecia shares
The International Symposium on Application Technology of Special Alloys for China’s Oil and Gas Development Officially Launched
China’s leading carbon nanotube material technology company receives 200 million yuan investment Hay:H02SZXW
China’s top high-performance meta-aramid fiber and para-aramid fiber manufacturer continues to expand production capacity Hay:D01YTTH
High performance water vapor barrier film material
China’s leading manufacturer of high-strength & precision powder metallurgy automotive parts Hay:T05CQHF
Chinese leading Switchable PDLC Glass, PDLC Film, Smart Glass Manufacturer Hay:H05JSWS
Chinese chemical fiber giant launched the third biodegradable plastic PBSA after the industrial production of biodegradable plastics PBST and PBAT Hay:C03ZGSH
The progress and application of carbon fiber composite technology in rail transit
Fabrisonic has developed a unique Ultrasonic Additive Manufacturing (UAM) process for the production of large parts
Rice University: New method for preparing graphene-based nanodiamonds
China Aerospace high performance Fiber Reinforced Composite Material Design and Manufacturing Joint Laboratory Unveiled
Development of International and Chinese Fused Silica Industry
China’s leading Ultra-high purity copper sulfate electroplating solution, chip etching ultra-pure cleaning solution manufacturer invests in ArF photoresist project Hay:I03SHXY
New type of titanium-niobium implant can better repair bones
China’s only chemical mechanical polishing fluid, photoresist remover technology giant Hay:I03SHAJ
A new breakthrough in the field of biometrics, China’s leading optical fingerprint recognition chip manufacturer deploys 3D ToF and ultrasonic fingerprint chips Hay:I06BJZY
Nidec plans to build a US$1.9 billion plant in Europe to produce electric car motors
Chinese researchers have made progress in the study of thermoelectric properties of copper antimony alloy [Cu3SbSe4]
Supplier of Honda,Emerson,ingersoll rand,Kohler,Yamaha, a leader in small precision crankshaft manufacturing successfully IPO Hay:T09CQMX
The mainstream molding process used for carbon fiber composite wings
5G RF chip manufacturer Skyworks stated that it has obtained a limited license to ship Huawei
China’s leading PCB micro-drills and milling cutter,dental bur manufacturer hits the world’s largest output Hay:T10SZJZ
China’s leading manufacturer of titanium dichloride pearlescent powder, multifunctional tungsten oxide-mica composite pearlescent pigment Hay:B08JXRC
Precision micro manufacturing leader,China’s leading manufacturer of microelectromechanical (MEMS) microelectronic components and semiconductor chip test probes successfully IPO Hay:T01SZHL
Mitsubishi Engineering Plastics Co., Ltd. (MEP), a global supplier of high-performance polyacetal materials, launches the Iupital™05 series of innovative polyacetal (POM) products
China’s micron-level 3D printing technology company receive nearly 100 million yuan in investment Hay:H01HZWL
SCHOTT presents ultra-thin glass (UTG): Ultra-thin glass Xensation® Flex at CIIE
Samsung Electronics invests in Lot Vacuum, a South Korean semiconductor vacuum equipment manufacturer
3D printed polycarbonate mesh can absorb 96% of impact energy and protect the phone screen
CATL’s lithium-ion battery electrolyte supplier realizes supply to Tesla Hay:N03GZTC
Merck focuses on semiconductor materials and organic light-emitting diode (OLED) display materials, and builds “Merck Electronics Technology Center” in China
Chinese technology company carrys LCOS optical modulation chips, LCOS optical engine technology companies unveiled at 2020 CIIE Hay:I06SHJC
LG Chem’s graphite anode material supplier,Chinese only isotropic microcrystalline graphite manufacturer passed Samsung SDI’s qualified supplier certification Hay:N01SZXH
KPMG unveiled China’s first “Chip Technology” 50 Emerging Companies Selection” list
Chinese special steel technology company provides Schaeffler with vanadium-containing pickling steel for automobiles Hay:A02HGCG
Edwards Lifesciences’ first heart valve product enters China
Carpenter Technology has developed a medical almost nickel-free stainless steel material suitable for additive manufacturing
China’s leading BOPET high-end reflective functional film and white polyester film manufacturer for light reflectors continue to cooperate with Toray Hay:C04NBCY
China’s leading engine precision parts manufacturer builds new aviation precision structural parts production base Hay:T02SCXL
China’s leading manufacturer of copper-based metal powder and microelectronic tin-based solder powder materials successfully IPO Hay:A05BJYY
Chinese researchers used cellulose nanofibers and titanium dioxide-coated mica flakes to prepare high-performance sustainable structural materials with bionic structures
China’s leading manufacturer of biodegradable material polylactic acid (PLA) becomes the official designated supplier of biodegradable tableware for the Beijing 2022 Winter Olympics Hay:C03AHFY
Desktop Metal makes a breakthrough in Binder Jetting 3D printing technology
Scientists from Pacific Northwest National Laboratory (PNNL) developed a safe and economical zinc-metal dual-ion battery
Chinese graphene material technology company expands graphene thermal film production line Hay:F03GDMR
China High Purity Quartz Technology Corporation builds a new 12-inch high-end quartz product production line Hay:B04BJKD
China’s only high-clean and high-purity stainless steel application material manufacturer covering the three major areas of semiconductor, biomedicine, and food safety Hay:A02KSXL
Scientists synthesize carbon nanofiber film composed of diamond-like carbon nanofibers
Fast Auto Drive, China’s largest manufacturer of high-end commercial vehicle automatic transmissions, purchases horizontal machining center machine tools from GROB SYSTEMS
The products of China’s leading high-temperature CPI (Colorless Polyimide) Films technology company have been successfully applied to China’s Mars rover Hay:C04LNAK
Lightweight metal-plated fiber wire is used in aerospace stable coaxial cable applications, reducing weight and improving cable transmission stability Hay:F04DLYB
China’s leading MCU, IOT SoC and capacitive touch sensor technology company received 100 million yuan investment Hay:I06SZAP
BASF’s acquisition of Solvay Polyamide [PA 6.6] shows synergies
The hidden champion of China’s micro-turbine fan,Miniature turbofan for ventilator industry, and the designated micro-turbine supplier of Ventec Life Systems in the world Hay:U01HZBF
International display panel leader BOE, TianMa’s OLED material supplier plans IPO Hay:I01CCHP
SK Innovation’s China lithium-ion battery separation membrane plant officially mass production
The Rise of Liquid Metal Technology and Industry: Progress and Opportunities
China’s leading manufacturer of high strength and toughness/high corrosion resistance rare earth magnesium alloy materials,high strength and toughness aluminum alloy materials Hay:A03SZAS
Chinese leading manufacturer of Blood Purification Device,Hemoperfusion Machine, hemoperfusion cartridge, BS bilirubin adsorption column and DNA immunoadsorption column Hay:P06GDJF
China’s leading ultra-thin low-power fingerprint recognition Chip,Fingerprint recognition sensor chip technology company Hay:I06SZMR
Chinese leading ultra precision thin-walled and easily deformed parts and components for medical, optics devices,Precision testing equipments Hay:T09SZXH
Three major factors will cause the global market for polyacrylonitrile (PAN)-based carbon fiber reinforced composite materials (CFRP) and carbon fiber reinforced thermoplastic composite materials (CFRTP) to increase exponentially
China’s leading micro gear transmission system, precision micro planetary gearbox reduction motor manufacturer Hay:U02SZZW
Chinese leading manufacturer of EMI shielding tape,Copper & Aluminum foil conductive tape,Polymer optical protective film Hay:F04JSYN
Chinese special cable technology company released a mineral flame-retardant fire-resistant cable, which can withstand 950℃ flame burning for 3 hours of continuous supply power Hay:F04GDMX
Chinese researchers successfully prepared 1GPa ultra-high strength nanostructured aluminum alloy
China’s graphene modified heavy-duty anti-corrosion coating manufacturer successfully developed graphene composite ceramic anti-corrosion coating Hay:M02SZXW
China Commercial vehicle Suspension System Technology company and special steel company jointly developed high-stress 2000MPa class leaf springs Hay:Q02CQHY
General Motors and PNNL cooperate to achieve a 5% increase in copper wire conductivity, which helps reduce motor weight and volume
QuantumScape, a solid-state battery company invested by Volkswagen and Bill Gates, will go public
China Submarine Cable Technology Corporation successfully developed the
Chinese Isotropic polymer bonded Nd-Fe-B magnets manufacturer’ rapid quenching magnetic powder technology breaks international monopoly Hay:F05BTKR
Based on the antibacterial copper Guardiant technology: [add a copper additive to the glass ceramic], Corning has developed a sterilizing glass material
The global leading manufacturer of Hub Assemblies,Hub & Rotors,Brake Drums for the commercial vehicle industry Hay:Q02USKM
China’s leading lithium battery separator technology company supplies 7 micron lithium battery separator products for CATL Hay:N02ZCKJ
The only leading biodegradable plastic company in Asia that fully masters polymerization,LCP materials and plastic modification technologies Hay:C03GZJF
China Nylon 66 Material Technology Group has built China’s largest nylon 66 industrial yarn, cord fabric, and chip industry base Hay:C02HNSM
Chinese leading electric drive manufacturer supplies high-power silicon carbide controllers for MAN and Scania heavy trucks of the TRATON[Volkswagen] Group Hay:I06BJJJ
Chinese scientists develop copper tin film to slow battery degradation
The global leading supplier of Schottky chips for Robert Bosch and Continental Hay:I06HZLA
China only ultra-thin and wide stainless steel foil manufacturer, with a thickness of 0.02 mm Hay:A02TYGT
BASF Forward AM showcases automotive 3D printing technology at Formnext
DSM launches new bio-based pellet EcoPaXX® material that can be used to 3D print lightweight automotive parts
Titan Robotics announces collaboration with the Carbon fiber reinforced nylon composite particles manufacturer,Jabil Engineered Materials
VALEO, MITSUBA, BROSE, Nidec, BOSCH, CONTINENTAL’s high-performance wet-pressed magnetic tile supplier Hay:F05AHLC
WACKER launches LUMISIL® 1K UV, a one-component UV-curable silicone optical water glue
Chinese leading manufacturer of Heat-resistant steel precision castings, automotive turbocharger parts and hydraulic pump valve parts Hay:T02JSKH
Chinese leading manufacturer of Continuous glass fiber reinforced thermoplastic materials, thermosetting composite materials, honeycomb cores, honeycomb panels Hay:D02ZJMH
China’s leading 3D printing titanium alloy powder technology company has developed aerospace-grade high-temperature alloy titanium powder Hay:H01HEHY
China leading manufacturer of ethylene cracking furnace superalloy/heat resistant alloys tubes, CAL & CGL furnace rollers and radiant tubes, glass lehr rollers and heat/wear resistant castings Hay:A04QDXL
Tetra Pak packaging material supplier will build a new production base for high barrier film materials Hay:F08GDWS
China’s leading manufacturer of aluminum alloy precision high pressure casting and low pressure casting parts and components Hay:T02SDJE
China’s largest amorphous alloy ribbon magnetic material manufacturer and CRRC cooperate to develop amorphous soft magnetic materials for magnetic suspension Hay:F05QDYL
After Samsung, the second manufacturer in the world to produce billion-pixel mobile phone cameras Hay:F06SZOF
China Aramid Fiber, Nylon Fiber Technology Company and Germany Cordenka establish a carbon fiber joint venture Hay:D01HNSM
LANXESS develops Tepex flame-retardant continuous fiber reinforced thermoplastic composite material
Chinese leading manufacturer of non-magnetic nickel-chromium-titanium alloy steel successfully developed extra-thick steel for natural gas pipeline Hay:A02AGJT
China’s silicon carbide ceramic precision parts manufacturer provides large-size silicon carbide ceramic sealing rings for China’s lunar exploration project Chang’e 5 probe Hay:B01NBFE
Chinese leading manufacturer of Spherical quartz powder, spherical alumina powder, desiliconized zirconium ZC-T-2, ultrafine fused zirconia Hay:B04AHZH
China’s leading Pyrolytic Boron Nitride (PBN) manufacturer successfully developed ceramic point sources for OLED evaporation Hay:B01SDGJ
China High Performance Basalt Fiber Technology Company has developed conductive basalt fiber Hay:D01ZKXJ
Chinese large-scale mining machinery:crushing machinery, screening machinery and washing machinery manufacturer participated in Bauma CHINA 2020 Hay:Y05ZJZK
Another liquid crystal polymer (LCP) film and LCP fiber production base in China was put into production Hay:C04NBYC
China’s leading ultra-thin flexible glass (UTG) manufacturer expands production Hay:B04AHKS
Rare earth wear-resistant alloy steel, high-temperature pressure-bearing rare earth heat-resistant steel, corrosion-resistant duplex stainless steel castings Hay:T02JSJE
Global Wafers plans to acquire German silicon wafer maker Siltronic AG for US$4.5 billion
The global leading OLED material technology company, Idemitsu`s China production base puts into production
Mitsubishi Chemical provides carbon fiber composite SMC/Sheet Molding Compound roof material for Toyota “GR Yaris”
Chinese leading manufacturer of anti-corrosion PTFE-lined valves, pipes, and containers Hay:U05ZJYF
China`s leading screw air compressor manufacturer provides vacuum pumps for TSMC and ASE Global Hay:U04SHHZ
Mitsubishi launches metal 3D printing business Signed a technology license agreement with French metal processing expert Aubert & Duval
China’s annual production of 50,000 cubic meters of Silicon matrix nano aerogel composite material project started Hay:F03ZHHL
Chinese leading CIM and MIM precision structural parts manufacturer MIM precision structural parts products have been used in consumer electronics fields such as smartphones and wearable devices Hay:T05CZJY
Polymaker develops new engineering materials for continuous fiber 3D printing technology manufacturer Anisoprint
China Aluminum Foil Technology Corporation successfully developed ultra-wide and ultra-thin aluminum foil for aseptic packaging Hay:A03SHSH
China Fasteners Company successfully developed self-locking nuts and anti-loosing self-tightening bolts, breaking the monopoly of Hard Lock, Spiralock, and Nord Lock technologies Hay:U07YZGY
The graphene composite functional non-woven fabric developed by China Graphene Technology Company won the award Hay:H02GSFD
High-purity stainless steel for liquid nitrogen thermostat storage tank Hay:A05SXTG
The high-purity molybdenum sputtering target production line newly built by China’s high-end sputtering target technology company successfully realized commercial production Hay:A01NBJF
China Super Precision Parts Company provides Non-standard customized high-precision parts for China Hualong No. 1 nuclear fuel element Hay:T09SCZH
BASF Ventures invested in a Chinese manufacturer of flexible multi-layer coextruded functional packaging materials Hay:F08JSSH
China Ultra High Pressure Valve Technology Corporation successfully developed
Chinese leading ultra fine coaxial line, super fine Teflon line and electronic wire and cable manufacturer Hay:F04CQHX
NatureWorks Announces Additional Lactide Monomer Purification Technology to Expand the Availability of Ingeo Biopolymer From Blair Facility
Chinese leading manufacturer of thoracic and abdominal aortic stent graft,stent, peripheral vascular stent and peripheral vascular balloon dilatation catheter Hay:P02SHXM
China’s leading high-purity semi-insulating silicon carbide substrate expands production of 6-inch silicon carbide substrate Hay:I01HBTG
China Special Steel Technology Group successfully developed the 1310MPa grade dual-phase steel Hay:A02SHBG
China’s rare earth magnesium alloy thin-wall casting parts manufacturer successfully developed large-size rare earth magnesium alloy bars Hay:A03BTXT
China Aramid Fiber Technology Company Provides High-Performance Aramid Composite Flag for Chang’e 5 Lunar Probe Hay:D01YTTH
China’s leading carbon fiber composite preform manufacturer expands production capacity Hay:D02JSTN
LiDAR company Lumina goes public through SPAC mode
Huntsman will acquire Gabriel Functional Materials Products company
Bosch, Caterpillar and Bonfiglioli`s supplier of Precision castings:planetary gear carrier, clutch housing, brake shoe, industrial robot turntable Hay:T02SDTR
Teijin’s CSP company has greatly optimized the CF-RTM process, and the mass production of automotive panels is expected to reach a new level
Huawei invests in China’s indium phosphide substrate, gallium arsenide crystal and substrate industries Hay:I01YNZY
Chinese leading manufacturer of aluminum foils,coils/strips,sheets,aluminum radiator fins Hay:A03HNLD
DENSO will use Showa Denko’s silicon carbide epitaxial wafers for the manufacture of supercharged power modules
BASF and BillerudKorsnäs develop Compostable laminated paper for flexible packaging
Chinese leading manufacturer of precision electronic ceramic structural parts, aluminum nitride ceramic package shell, aluminum nitride ceramic substrate Hay:B03HBZC
Skoltech:Carbon nanocomposites are now one step closer to practical industrial
China Titanium Technology Corporation provides high-strength TC18 titanium alloy forgings for aircraft landing gear of China’s Massive Turboprop Seaplane:AG600 Kunlong Hay:A04HNJT
SK Hynix’s new 8-inch non-storage wafer project officially put into production
Universityat Buffalo has made progress in the research of new aramid ceramic aerogel nanocomposites
Chinese leading manufacturer of soft magnetic amorphous and nanocrystalline strip and Core Hay:F05AHJH
All Michelin tires will use RFID chips in 2023 to improve driving safety
Coherent Laser launched the StarCut Tube Hybird dual-light source laser cutting system: significantly improved the versatility of cardiac stent production
Osram released its first UVC LED device Oslon UV 3636, officially entering the UVC LED market
Aviation high-performance materials, the blue ocean in China’s advanced materials field
China’s leading manufacturer of polarization-maintaining passive optical components builds new thin-film lithium niobate modulator production base Hay:I06GDGK
Chinese leading provider of intelligent flexible loop line with direct driver and magnetic levitation technology solutions Hay:V29SHGL
Bosch, Continental,Mitsuba, Brose, Nexteer`s supplier of Metal Injection Molding/MIM precision Parts and components Hay:T05YZBD
Development and current situation of the world LCP/Liquid Crystal Polymer industry
China’s smart audio SoC chip and AIoT chip invisible champion Hay:I06SHHX
China’s leading TC4 titanium alloy new material and diameter forged titanium manufacturer Hay:A04BJTP
AUO successfully introduced Micro LED into the automotive market, and will expand to wearable and other fields in the future
Mobileye plans to develop its own lidar system to replace Luminar
The Complicated shape and heavy-duty forging manufacturing technology won the China Science and Technology Award Hay:T03HLYZ
Ube Industries has developed a new type of heat dissipation material with a thermal conductivity twice that of copper
Biomedical metal materials
China’s leading manufacturer of insulating polyester film and insulating resin Hay:F04SCDC
Use high-performance aramid composite materials to cope with harsh environments
China Valve Technology Corporation provides double wedge gate valves and plunger valves for propane dehydrogenation projects Hay:U05HTKJ
China’s leading Titanium alloy, high temperature alloy compressor blades and medical orthopedic implant forgings manufacturer successfully IPO Hay:T03WXHY
CREE and Valeo jointly develop Micro LED headlights
China’s leading LED chip technology company builds a new Mini/Micro LED chip production base Hay:I06HBHC
China’s leading manufacturer of quartz glass fiber and quartz glass products and quartz glass shell for special electric light sources Hay:B05WHXY
The world’s largest supplier of nonlinear optical laser crystals:LBO, BBO crystals and Nd:YVO4 crystals Hay:F06FJFJ
The ESP precision roll production line built by a Chinese Forged hardened steel backup roll manufacturer was put into operation Hay:T03JSGC
Huawei, Tesla supplier of precision aluminum alloy components, large-scale & complex aluminum alloy die castings Hay:T02CQDJ
China’s full flexible AMOLED module production line successfully put into production Hay:I06BJWX
The world’s largest manufacturer of ductile iron pipes,Metal and plastic composite pipe and Composite double (multi) metal steel pipe for metallurgy Hay:T02HBXX
TALOAR Valves establishes production line in China
China-Switzerland joint venture manufacturer for cemented carbide precision parts, single crystal tungsten carbide, precision tungsten and molybdenum products Hay:A04ZGYZ
China’s high-temperature hard-seal hydrogenation ball valve passed the acceptance of a large-scale 10 million tons refinery project Hay:U05SZDS
DOMO develops recycled polyamide engineering plastics for automotive applications
Sino-Japanese joint venture for precision aluminum alloy die-casting auto parts Hay:T02SHXD
After Cohu, Yokowo, the world’s third IC testing interface: Coaxial Socket and Vertical Probe Card supplier for semiconductor, optical, information technology and optoelectronics Hay:I03TWYW
Global High temperature alloy/superalloy precision turbine disc manufacturer Hay:D04XASR
Chinese electromagnetic wave absorbing material company provides silicone absorbing patch for Huawei 5G equipment Hay:F05NBJF
China’s leading electronically irradiated cross-linked polyethylene (IXPE) manufacturer successfully IPO Hay:C03ZJRY
China’s electrochromic technology leader provides flexible electrochromic film for OPPO Reno5 Pro+ Hay:H05SZGY
China’s leading manufacturer of KN95 masks and medical protective clothing helps the world fight Coronavirus (COVID-19) Hay:P05SDDS
VueReal Micro LED screen yield rate exceeds 99.99%, is expected to become the successor of OLED
China has become the world’s largest producer and exporter of marine crankshafts
Samsung and Huawei polarizer supplier builds new polarizer production base Hay:F06GDFL
China’s ultra-thin stainless steel manufacturer successfully produced stainless steel strips with a thickness of less than 0.1mm Hay:A05NBBX
The global leading crystal resonators and crystal oscillators manufacturer Hay:I06TWHX
Two international standards formulated by China’s fine high-strength steel wire rope manufacturers are officially released Hay:A02JYFE
Impact resistance and self-healing ability of graphene/nano twin crystal copper composite
Global leading Ultra-thin VR display module and Ultra Lightweight Co-Axis lightguide Optics supplier and manufacturer Hay:F06SZHN
Pratt & Whitney will produce advanced ceramic matrix composite (CMC) turbine blades
The new production base of the Beijing Winter Olympics biodegradable material polylactic acid (PLA) packaging material supplier starts production Hay:C03AHFY
Chinese equipment manufacturer successfully developed large-size monocrystalline silicon growth equipment Hay:V20XAYW
Global leading large size hydraulic turbine steel castings and large gas turbine outer cylinder parts supplier of GE and Siemens Hay:T02NXGX
Chinese olefin polymerization catalyst manufacturer successfully developed polyethylene SEL catalyst Hay:W03BJLH
Pierburg’s new engine cylinder head precision machining line for BMW starts production
China’s leading manufacturer of building waterproof and thermal insulation board Hay:K06GDFD
Global leading Coreless organic substrate supplier for Apple, Huawei and Samsung Hay:I04ZHYY
Chinese titanium alloy casting manufacturer successfully produced super large titanium alloy castings Hay:T02BJBM
The Every layer interconnection (ELIC) HDI PCB manufacturer won Huawei’s Global Core Supplier Gold Award Hay:I04GDFZ
Chinese leading (FPGA) chip supplier and manufacturer realize commercial production Hay:I06SZZK
Chinese leading manufacturer of gearless elevator traction machine and construction elevator speed reducers Hay:U01SZTR
GE and Schneider supplier of transformer core and cold-rolled oriented silicon steel sheet Hay:F05WXPT
China’s leading manufacturer of cardiovascular medical equipment and consumables receives 1 billion RMB investment Hay:P02BJMD
China’s leading graphene powder, graphene conductive paste, graphene shielding paste and graphene heating paste technology company Hay:H02HZCT
China has the ability to develop titanium alloy wide-chord hollow fan blades for engines with large bypass ratios
China’s silicon-based OLED microdisplay industry is developing rapidly
China’s TFT-LCD panel photoresist manufacturer achieves breakthrough Hay:I03JSBY
China’s carbon fiber composite auto parts manufacturer drafts national standards for carbon fiber composite fenders Hay:D02GDYT
China’s Titanium & magnesium alloy ring forging manufacturer passed the certification of Rolls-Royce, Mitsubishi and Siemens Hay:T03WXPK
Wire tube condenser and roll bond evaporator manufacturer and CATL Lithium Battery Liquid Cold Plate Supplier Hay:F03SZRT
GE and Siemens Gamesa Wind Turbine Blade Hubs and parts supplier Hay:T02DLZG
Caterpillar, Bosch Rexroth and Parker Hannifin Supplier of hydraulic control valves and hydraulic cylinders Hay:R08ZJDS
China’s 12-inch monocrystalline silicon epitaxial wafer production line realizes commercial production Hay:I01HZZX
After Rogers and Taconic, the world’s third largest manufacturer of High Frequency PTFE Copper Clad Laminate/CCL Hay:I04JSZY
China’s leading ultra-precision cemented carbide micro-drill for PCB manufacturer successfully developed 0.01mm ultra-fine micro-drill Hay:T10SZJZ
China’s leading germanium single crystal infrared optical lens manufacturer Hay:F06YNBF
Chinese manufacturer of medical protective clothing and masks has developed virus-killing masks Hay:P05HNTR
Global leading Aluminum alloy precision parts and components supplier for Valeo and Bosch automotive wiper system Hay:T02NBAD
EU Clean Sky 2 develops integrated continuous carbon fiber manufacturing process
Global leading precision piping system and UHP Fittings for Ultra high purity semiconductor industry Hay:T09SGCS
China Superalloy Powder Material Technology Company contributes to Horizon 2020 Project Hay:H01ZHMT
High nitrogen nickel free stainless steel cardiovascular stent products obtained EU CE certification Hay:P02BJZK
Chinese leading tungsten and molybdenum electrode and wires supplier Hay:A04SZWL
China 4um ultra-fine electronic-grade glass fiber yarn and 9um ultra-thin electronic glass fiber cloth production lines are put into operation Hay:D01SHHH
Perkins and Cummins Diesel intelligent generator set supplier Hay:U01GDXD
Low Temperature Poly-silico glass substrate manufacturer successfully commercialized G6-0.4T high temperature glass substrate Hay:B04HBDX
Chinese manufacturer of large-size forgings develops [stainless steel] bimetal forgings for nuclear power plants Hay:T02ZGEZ
Chinese first supplier of 3D-Printed Cervical Cage approved by FDA Hay:P01USZS
Celanese plans to build a world-class liquid crystal polymer [Vectra® and Zenite® LCP] plant in China
Chinese ceramic thin film hybrid integrated circuit manufacturer builds new production base Hay:I04ZJZX
Tesla Automotive Electronics PCB Supplier Hay:I04GDSY
The iliac artery bifurcation stent system is approved by the NMPA Hay:P02SZXJ
China VCSEL (Vertical Cavity Surface Emitting Laser) Laser Chip Technology Company Receives 300 Million RMB Financing Hay:I06SZBS
China’s leading manufacturer of gold bonding wires, palladium copper wires and gold palladium copper wires Hay:I04JSJC
China releases graphene textile insulation material Hay:F03SHSM
ThyssenKrupp’s wear-resistant cement roller sleeve supplier Hay:A02HBJX
Audia launches AudiaFlex™ series of sustainable thermoplastic elastomer TPE
Covestro: Launches Desmopan® EC Partial Bio-based TPU Materials
China’s leading manufacturer of vanadium-aluminum-tin-chromium, aluminum-molybdenum-vanadium-chromium-iron master alloy materials Hay:A03HBST
China’s precision tungsten and molybdenum structural parts manufacturer develops new products Hay:A04LYSF
SGL increases the output of carbon fiber composite materials to meet the needs of battery casings
China top Logic and Memory semiconductor silicon wafer material supplier and manufacturer Hay:I01TJZH
Mitsubishi Chemical develops 3D printing grade PBT
Chinese leading manufacturer of all-metal sealed butterfly valves wins Sinopec’s 2021 valve framework contract Hay:U05BJRT
Dymax launched a new type of light-curing low shrinkage OP-81-LS epoxy resin
China’s biodegradable Mg-Nd-Zn-Zr alloy screws manufacturer has made research progress Hay:P01SHJD
China successfully developed sterilization and anti-virus [inactivated Coronavirus (COVID-19)] meltblown non-woven fabric Hay:P05SZQX
Collins Aerospace launches low-cost, high-toughness carbon fiber prepreg
China’s magnetic material/magnetic tile manufacturer builds a new high-frequency magnetic device (inductor) production base Hay:F05AHLC
China’s Wi-Fi 6 IoT chip takes the lead in passing the Wi-Fi 6 certification test of the “Wi-Fi Alliance” Hay:I06SHBT
China’s low-speed motor manufacturer successfully developed a very low-speed high-torque permanent magnet synchronous motor Hay:U01SZBD
China and Ukraine jointly developed hot-forged titanium bronze material Hay:A03ZJZW
99.5% alumina ceramic casting substrate
China’s leading manufacturer of precision connectors Hay:F04JSYX
Renesas Electronics intends to acquire British chip company Dialog
Chinese OCA film manufacturer becomes the exclusive supplier of Facebook VR glasses Hay:C04JSSD
Chinese superconducting material manufacturer provides PF6 superconducting magnet and tungsten copper alloy material for ITER and EAST project Hay:H07ZKHF
The world’s largest aviation-grade titanium alloy bar production line successfully realized commercial production Hay:A04SXTC
Faurecia announced the acquisition of a majority stake in China’s largest manufacturer of high-pressure gas cylinders
Evonik and partners develop a new GF-SMC battery case
China’s leading manufacturer of forged aluminum control arm assembly and magnesium alloy ball joint hinge assembly bracket Hay:Q02SCWJ
China’s leading medical endoscopy manufacturer successfully IPO Hay:P11SDHT
China Electronic Ceramics Technology Company successfully realized commercial production of Aluminum Nitride Copper Clad Laminate for IGBT Hay:I04HBZC
Mitsubishi Chemical launched a new high-strength and heat-resistant carbon fiber reinforced cyanate ester prepreg
Refitech launched a new series of high-precision carbon fiber square tubes and connectors
Stratasys introduces SAF technology, which is expected to expand the printing capabilities of mass-produced parts
DuPont will acquire Laird Performance Materials
Chinese Lithium Battery Separator Manufacturer Provides Products for Northvolt Hay:N02SZXY
Wide-width ultra-thin stainless steel strip foil manufactuerer Hay:A02NBBX
Chinese rare earth steel manufacturer successfully developed Ultra-pure steel material Hay:A02SDXW
The world’s largest 300MN isothermal die forging hydraulic press made in China was successfully commissioned Hay:T03XASJ
Medical grade titanium powder and nickel-titanium alloy powder
Chinese Leading Amorphous Alloy Material Manufacturer Provides Liquid Metal Hinges for Huawei Hay:H06DGYA
Chinese leading Zirconium matrix/based amorphous alloy supplier Hay:H06CZPX
China’s largest stamping and etching lead frame material R&D and production base Hay:I04HKAS
China has developed the world’s hardest material: nano-twinned superhard material Hay:A04HBYS
China leading supplier of Metal Fiber, Metal Fiber Sintered Felt and Ultra-fine Metal Composite Wire Hay:A05HNHT
Toray developed a carbon dioxide separation membrane by using porous carbon fiber
China leading Ceramic wave-transmitting materials, ceramic anti-heat insulation materials and special ceramic fibers manufacturer Hay:B01ZCDH
The Dewar super rectangular bellows for ITER developed in China successfully entered mass production
DuPont will build a new production facility for BETAFORCE™ composite adhesives and BETATECH™ thermal interface materials for electric vehicles in China
TUMI and McLaren jointly launch carbon fiber composite luggage
China leading copper clad aluminum composites (CCAC) busbars, strips, tubes and flat wires manufacturer Hay:D04HZAL
International leading silicon carbide substrate manufacturer II-VI builds R&D center in China
Chromium-zirconium-copper alloy supplier Hay:D04XASR
Wind power carbon fiber beam and carbon fiber prepreg supplier of Vestas and Siemens Gamesa Hay:D02WHGW
The world’s leading manufacturer of colorless polyimide (CPI) film provides CPI covers for a variety of foldable mobile phones Hay:C04SKKI
Covestro expands production of polycarbonate film in Germany
KEXCELLED 3D printed PEEK for the thermal protection system of the return capsule of the asteroid probe
China’s amorphous nanocrystalline soft magnetic alloy material manufacturer releases high-efficiency three-dimensional wound core special amorphous alloy Hay:F05QDYL
SKI battery separator subsidiary SKIET officially IPO
China’s titanium alloy Ti-6Al-4V electron beam fuse additive manufacturing technology has made breakthrough progress
Chinese companies successfully jointly developed magnesium oxide for low-temperature oriented silicon steel Hay:F05SHBG
Solvay launches ultra-high temperature resistant nylon Amodel® Supreme PPA
ASML and Teradyne jointly developed a new EUV protective film with a light transmittance of 90.6%
The world’s largest lithium battery copper foil manufacturer builds a new production base Hay:A03SZLH
BASF carbon fiber reinforced Ultramid® Advanced PPA to replace aluminum and magnesium to make ultra-light parts
China-developed silver-copper Cladding composite strip obtained U.S. patent Hay:D04WZHF
Chinese leading ultra-fine/nano cemented carbide parts and super coarse-grained cemented carbide manufacturer Hay:A04HNBY
Teijin carbon fiber [PPS-based] prepreg tape (TPUD) continues to work at a high temperature of 220°C
Chinese Aramid Manufacturer Provides High-Performance Heterocyclic Aramid Materials for Mars Rover Hay:D01SCHT
3D bioprinter manufacturer CELLINK acquires Nanoscribe, the manufacturer of ultra-fine microstructure 2PP 3D printing
BASF[Forward AM] has launched its new Ultrafuse 17-4 PH wire
China High Purity Graphene Technology Company built a new production base Hay:H02FJZX
Manufacturer of 6-inch GaAs-based VESCEL epitaxial wafers and high-power semiconductor laser epitaxial wafers in China Hay:I04SXTJ
Silicon carbide and boron carbide have become the two most popular bulletproof ceramic materials
China’s precision copper wire, superconducting copper wire and nano-copper bonding wire manufacturer builds a new production base Hay:F04SZZY
Chinese special glass manufacturer launches lithium aluminum silicate cover glass Hay:B04SCNB
Teijin’s Tenax™ carbon fiber prepreg is successfully used in Airbus aircraft engine nacelles
China’s leading manufacturer of quartz crystal resonators: Tuning Fork, SMD Quartz Crystal and Thermistor Quartz Crystal Hay:I06HBTJ
DMRL developed near-isothermal forging technology for titanium alloy components of aeroengine
China’s leading manufacturer of fire-proof, heat-insulating and sound-insulating foam materials Hay:F03GZLY
The world’s largest BOPA supplier successfully realized the commercial production of bio-based film BOPA Hay:C03XMCS
Yttrium oxide transparent ceramics
Arkema invests in ERPRO 3D FACTORY
Novel Crystal Technology achieves world’s first mass production of 100mm gallium oxide wafers
Lorenz Kunststofftechnik, Evonik and LION Smart jointly develop glass fiber reinforced epoxy SMC for battery housing
The second manufacturer of wide-width ultra-thin precision stainless steel foil Hay:A02GXBG
China’s leading manufacturer SiC coated graphite susceptor for Epitaxy of GaN Hay:B06SZZC
China successfully developed high temperature resistant carbon fiber materials Hay:D02SHSH
High-performance film: optical film
China’s leading BOPET film manufacturer Hay:C04SDFW
High performance optical polyester PET film
China’s largest polystyrene extruded board (XPS) manufacturer cooperates with DuPont on BLUEDGE™ (brominated SBS) masterbatch Hay:K06JSWN
Caterpillar`s supplier of high pressure plunger hydraulic pump, hydraulic motor Hay:R08SZLY
Global leading semi-insulating SiC substrate manufacturer II-VI Incorporated surpasses Cree
China’s leading manufacturer of high-purity high-temperature alloys and nickel-based copper alloy corrosion-resistant alloy pipes Hay:A04JSLD
China’s leading fuel cell ultra-thin graphite bipolar plate and carbon-based coated metal bipolar plate manufacturer Hay:B01ZJHR
China’s leading manufacturer of intracranial artery stenosis stents, intracranial stent grafts and neurovascular guide wires Hay:P02SHST
China’s leading manufacturer of metal-based ceramics high toughness and super wear-resistant materials and components Hay:D05ZKZY
China’s leading special conductor: silver-plated utra-fine copper wire, nickel-plated fine copper wire manufacturer Hay:F04JSHF
China’s leading manufacturer of IVD precision micro-sampling needles and inner-wall mirror-polished capillary tubes breaks the international monopoly Hay:P11SZZK
China’s leading PS diffuser plate manufacturer builds a new diffuser plate and light guide plate production line Hay:F06CZAZ
China’s precision optical component manufacturers develop micro-nano optical products Hay:F06SHZG
China’s leading ITO conductive film lens and AR/VR spectacle lens manufacturer Hay:F06SZJB
China’s aviation-grade titanium alloy rod and wire manufacturer builds the largest production line Hay:A04SXTC
China’s leading manufacturer of second-generation high-temperature ReBCO superconducting wire and tape Hay:H07SHCD
China has successfully developed a series of high-strength and high-toughness aluminum alloy round cast rods with the largest size of 1400 mm in diameter Hay:A03XJZH
China’s leading PEEK and titanium alloy skull repair and fixation products manufacturer Hay:P03XAKT
China’s leading manufacturer of AR diffractive optical waveguides and diffraction gratings Hay:F06ZJZG
China’s leading rigging manufacturer successfully developed ultra-long steel wire rope Hay:A02HBJL
Avient launches Complēt™ long fiber reinforced thermoplastic composites based on nylon 6 and 6/6
Global Leading 4K Ultra HD Fluorescence Endoscopic Navigation System manufacturer Hay:P11GDOP
China’s leading UTG, copper indium gallium selenide power generation glass manufacturer exhibits Hay:B04AHKS
Nouryon expands Expancel expandable microspheres production capacity in China
China’s leading manufacturer of fuel cell stacks Hay:N01SHZS
TANAKA Develops Active Brazing Filler Metal/Copper Composite Material for Power Devices
China’s leading manufacturer of Vacuum Insulation Panel (VIP) builds new production base Hay:F03FJST
Porotech Realizes Commercial Production of Porous Gallium Nitride GaN MicroLED Chips
Chinese manufacturer of ultra-pure deformed superalloys and complex thin-walled superalloy structural parts has rapid profit growth Hay:A04JSTN
China’s leading manufacturer of copper-nickel-silicon copper strips for connectors, lead frames and high-performance copper alloy strips Hay:A03SXCL
China’s leading slide bearing manufacturer Hay:U03HNCD
China’s leading manufacturer of 6-inch semi-insulating silicon carbide substrate and 6-inch conductive silicon carbide substrate successfully IPO Hay:I01SDTY
GORILL GLASS VICTUS replaced by Nanocrystalline glass
Pratt & Whitney launches new facility for R&D of ceramic matrix composite materials
China’s first 10-kV three-coaxial high-temperature AC superconducting cable has been laid Hay:H07SZNF
World’s top ultra thin flexible glass substrate manufacturer Hay:B04JSSC
China’s first carbon fiber composite observation unmanned ship is put into use Hay:D02SDTF
Evonik acquires biotechnologically derived cellulose manufacturer JeNaCell
The thermoset tooling boards manufacturer Ru-bixof has developed an all-new chemical formula for their 1200 density board RX-1200
China leading medical equipment manufacturer for orthopedics, wound management, neurosurger and general surgery Hay:P01FJDB
Research and development of membrane electrodes for hydrogen fuel cell stacks in China Hay:N01SHJQ
China’s aluminum foil, ultra-high-purity aluminum sputtering target substrate and aluminum-based bonding wire bus bar manufacturer increased profits Hay:A03XJZH
Samsung`s BOPET optical film and functional film supplier Hay:C04JSSX
Global high-end VR display equipment manufacturer has developed AR glasses with more stable structures Hay:F06SDGE
China leading implantable orthopedic medical devices[joint prosthesis products and spinal products] manufacturer Hay:P01BJCL
China’s hydrogen fuel cell membrane electrode and fuel cell system core component manufacturer Hay:N01SHNE
Chinese scholars in the field of graphene are selected as the first batch of academicians of the United Nations Academy of Sciences
The new high-performance meta-aramid fiber production base built by a Chinese para-aramid manufacturer begins commercial production Hay:D01YTTH
China’s leading electronics-grade nano-nickel powder and copper powder manufacturer increases their profits Hay:A05JSBQ
China’s leading microfiltration and ultrafiltration membrane manufacturer builds PENF high-efficiency selective nanofiltration membrane production line Hay:F07BJBS
The manufacturer of Organic Silicone Sealant and Silicone Rubber Strips and CATL develop protective silicone sealants Hay:C06CDGB
Chinese company successfully developed semi-insulating gallium oxide monocrystalline substrates Hay:I01ZJZD
China’s leading manufacturer of polypropylene film, OCA release film, ITO high temperature protective film and protective film for polarizers Hay:C04SCDC
China manufacturer of cadmium telluride thin film solar power glass and copper indium gallium selenium thin film solar power glass Hay:B04CDZC
The application direction of Vacuum Insulation Panel (VIP) in China is gradually expanding to cold chain logistics
China leading Inner-clad (metallurgical) corrosion-resistant alloy bimetallic composite pipe manufacturer Hay:D04XAXY
Chinese activated carbon manufacturer breaks through activated carbon technology for starch-based supercapacitors Hay:B06SXMJ
HiPhi and Dow Chemical jointly develop silicone leather LUXSENSE
The enameled flat copper magnet wire supplier of Bosch and Nidec Hay:F05ZJCC
China’s leading manufacturer of Ribbon HEV nickel foam, tin/nickel-plated copper foil and nickel-plated steel strip Hay:A05HNLY
China Leading Sacrificial anode,Magnesium Anode and Impressed current anode supplier Hay:F17ZJYX
China Advanced Fiber Technology Company has developed a variety of anti-virus masks Hay:P05XJXW
China’s leading semiconductor thermoelectric material manufacturer builds new bismuth telluride crystal rod production line Hay:I01HNLD
NEDO’s first carbon fiber prepreg that can be cured within 30 seconds and can be stored at room temperature
China’s leading carbon nanotube fiber and carbon nanotube film technology company Hay:H02SZXW
China’s leading manufacturer of liquid metal hinges, zirconium-based amorphous alloys, and Zr-Cu-Ni-Ag-Y amorphous alloy precision die-casting parts Hay:H06DGYA
China’s neutral borosilicate glass tube for medicine invisible champion enterprise Hay:F08AHKS
China high-performance powder ceramic roll and bimetal powder ceramic cutter manufacturer Hay:D04HNST
Continuous Composites and Siemens jointly develop glass fiber reinforced polymer (GFRP) materials for generators
NLR and GKN Fokker jointly develop carbon fiber reinforced plastic fuselage skin
High performance PEEK parts made in China are successfully used in space launch vehicles Hay:C02JSJH
China’s ITO conductive film invisible champion enterprise Hay:F04JSRJ
Hydrogen fuel cell stack companies develop PEM electrolyzers
Antonov purchases titanium alloy semi-finished materials from a Chinese large-size titanium alloy forging manufacturer Hay:H04CQJS
China Transparent EVA Film/EVA-POE-EVA Film for Photovoltaic Market Analysis
China successfully commercializes high-end soft magnetic alloy materials Hay:F05LNTG
Sumitomo Chemical will build an ArF photoresist production facility in South Korea
China’s leading manufacturer of aluminum foil for Aluminum Plastic Composite Film for Lithium Battery Hay:A03LYWJ
Chinese scientists successfully developed High entropy metallic glasses
China’s leading manufacturer of aluminum-matrix composite heat dissipation materials Hay:F03JSTG
China prepares radiation-resistant toughened composite ceramics
China’s leading manufacturer of graphene polarizers builds new production base Hay:F06FJXF
China holds beryllium material [beryllium aluminum alloy, high-strength light-duty beryllium precision parts] industry development forum
Carbon fiber paper, the key material [PEMFC porous gas diffusion electrode] of the component of hydrogen fuel cell
The high-performance photoelectric composite cable for the shearer was successfully developed Hay:A03ZJWC
China’s leading manufacturer of Precious Clad Metal strip, electrical contact materials and precision punching contact element Hay:F04SHZX
China’s submarine optical cable and submarine cable manufacturers break through the technology of non-metallic armored umbilical cable with full-sea deep ROV Hay:F04JSZT
Expanded ePTFE and microvent breathable membrane supplier Hay:F07JSFY
China’s leading germanium material manufacturer releases germanium-based catalysts Hay:W03YNCH
Chinese leading intelligent robotic arms for Collaborative Robot manufacturer Hay:R10SZYJ
China’s largest plastic extrusion die manufacturer won the China Quality Award Hay:T10ZJJC
China’s leading manufacturer of for PCB photoresist/ photosensitive dry film for IC package substrate Hay:I03HNWJ
Chinese leading anti-corrosion and wear-resistant high-frequency induction fusion welding nickel-based self-fluxing alloy Pipes and parts for for incinerator manufacturer Hay:A04JSKH
China’s leading manufacturer of large-scale magnesium-aluminum precision die-casting parts purchases Buhler 6100T super large-tonnage die-casting machine Hay:T02DGYA
China’s leading manufacturer of ultra-thin glass and copper indium gallium selenide power generation glass won the China Quality Award Hay:B04AHBL
Chinese leading YAG laser crystals,linear optical crystals and scintillation crystals manufacturer Hay:B06SCDJ
China’s leading manufacturer of silicon carbide nano-mirror coatings and ceramic matrix composites receives strategic investment from Huawei Hay:D05HNDZ
China’s ceramic mechanical seal manufacturer provides silicon carbide seals for China’s Shenzhou spacecraft Hay:U07NBFE
The leading Rare earth permanent magnet drive motor/electric drive systems for passenger vehicle and commercial vehicles supplier of BMW and Mercedes-Benz Hay:U01BJJJ
SK Siltron expands silicon carbide wafer business
China’s leading manufacturer of Superconducting magnet and Cryogenic vacuum Cryopump Hay:F05SZBM
Polypore Celgard establishes a joint venture in China to produce dry-process lithium-ion battery separators
China’s leading 12μm black type rolled copper foil, high precision inner-grooved copper pipe and lead-frame copper strip manufacturer Hay:A03SDAB
The global leading precision optoelectronic thin film components manufacturer Hay:F06ZJSJ
China leading Semi-reflective semi-transparent optical film glass manufacturer Hay:F04SZNB
The global leading High-precision forgings manufacturer for large and medium-sized equipment Hay:T03JSHG
The shatter-resistant glass-ceramic cover developed in China surpasses Ceramic Shield and Aluminosilicate glass Hay:B04HZQZ
Chinese Leading High Performance Copper Alloy Material Supplier hosted the “High Performance Copper Alloy Application Seminar in Connector and Cable” Hay:F04NBBW
Chinese wind power main bearing manufacturer successfully developed 7 MW wind power main shaft bearing Hay:U03HNZY
China’s leading titanium alloy seamless pipe manufacturer establishes a joint R&D center Hay:A04HBHX
Huawei and Infineon Tackle Automotive AR Heads-up Display Challenges
CRRC releases carbon fiber composite Lightweight bogies for urban express train
Chinese leading high strength spike and fastening system manufacturer for China High Speed Rail System Hay:U07ZJQF
The development of China’s graphene material industry
China leading Enamelled Ultra-micro flat magnet wire and ultra fine rectangular copper wire manufacturer Hay:F05GDST
China’s leading tungsten-molybdenum alloy manufacturer brings a variety of tungsten-copper alloys to the Optoelectronic Expo Hay:A04BJAT
Chinese leading Tantalum-tungsten alloy, tantalum-niobium alloy and niobium-zirconium alloy products manufacturer Hay:A01HNNF
Chinese leading proton exchange membrane/Perfluorosulfonic Acid Ion-Exchange PFSA Membrane for Fuel Cell manufacturer Hay:N02JSKR
China releases masks with nano-cellulose polymer filter material that absorbs and inactivates Coronavirus (COVID-19) viruses Hay:P05SDHL
Global Top 5 Silicon Carbide Material and Technology Suppliers: Wolfspeed, Rohm, Sumitomo Electric, Mitsubishi Electric and Denso
Chinese leading electronic welding materials:Solder paste and Solder wire manufacturer Hay:F01SZWT
China builds R&D and industrial base for high-end rare earth NdFeB permanent magnet materials Hay:F05BJAT
Chinese leading Super Austenitic Stainless Steel Pipe/Tube manufacturer Hay:A02ZJJL
Those high-performance advanced materials used in China’s aerospace engines
China’s silicon carbide ceramic manufacturer builds a new R&D center for silicon carbide ceramic bearings and silicon nitride ceramic structural parts Hay:B01LNCL
Ceramic Matrix Composites for Aviation Industry
China’s high-barrier nylon film manufacturer builds a new production base Hay:F08HBMZ
Ceramic fiber/ultra-thin aerogel supplier of Huawei and Tesla Hay:D05HNFR
The tinned copper strip manufacturer acquires Furukawa Metal China Hay:A03AHXK
The leading ultra-precision PMMA Microstructure optical lens andUltra-precision optical lens mold supplier of HELLA, CREE, LUMILEDS and OSRAM Hay:F06ZJBK
China’s copper + stainless steel composite sheet manufacturer releases stainless steel + carbon steel composite sheet Hay:D04TGJT
The Global Leading acoustical, thermal and electromagnetic insulation supplier provides Volkswagen AG with PHEV battery aluminum alloy protective cover Hay:F02DECA
3D printed porous titanium alloy implants and porous tantalum implants Hay:P01XASL
Development status of China’s carbon fiber prepreg industry
The leading High-temperature alloy/Superalloy hot-end components for aero engines and gas turbines and Aero Engine Titanium Aluminum Blade supplier Hay:A04AHYL
Chinese leading high-performance low-expansion alloy materials supplier for aerospace Hay:A04SHYL
Arris Composites and Airbus started the development of lightweight composite materials cabin support
Chinese only Vertically free-standing few-layer graphene film supplier and manufacturer Hay:H02SZYX
DuPont will acquire Rogers Corporation, a manufacturer of engineered materials
Chinese high-end electromagnetic shielding film manufacturer successfully developed high-performance resistive film Hay:F04GZFB
China’s leading silicon carbide substrate wafer manufacturer plans to build a new production base Hay:I01ZJJS
Hexagon Purus debuts Hydrogen high-pressure Type 4 carbon fiber winding cylinders in China
EMI / EMC shielding materials supplier Hay:F05SZLY
SELFOC® Micro Lens as Fine as Optical Fibers
Chinese Micro Vibration Motor Hidden Champion Enterprise/Supplier Hay:U01SCAH
China established a R&D consortium of 650℃/700℃ superalloy for thick-walled large pipe and high and medium pressure rotor Hay:A04XARG
AOC has developed a unique Daron® resin and carbon fiber reinforced SMC technology
Chinese leading high temperature superconducting magnet and superconducting induction heating device supplier Hay:F03JXLC
China’s automotive aluminum alloy precision die castings and high vacuum die castings invisible champion enterprises Hay:T02NBAD
China’s leading supplier of aerogel thermal insulation material for cold-proof clothing Hay:F03SHSP
Chinese technology company releases the world’s first multi-dimensional tactile sensor Hay:I06SZPX
2195 aluminum-lithium alloy forgings and plates Hay:A03ZZQY
Zoomlion and CIFA jointly develop the world’s longest carbon fiber boom pump truck
Low temperature superconducting wire Nb3Sn and GE and SIEMENS MRI special NbTi superconducting wire supplier Hay:A04XAXB
Arris Composites and Airbus collaborate on composite material research to achieve lightweight cabin support
Chinese leading manufacturer of High strength/high corrosion resistance rare earth magnesium alloy/rare earth aluminum alloy/carbon fiber clad rare earth magnesium alloy Hay:A03SZAS
SZFR will provide die castings, liquid-cooled plates and high-performance composite materials for CATL Hay:F05SZRF
High-temperature and high-strength aluminum alloy specially developed for 3D printing with a service life of over 5000 hours at 250°C Hay:H01SZBF
Chinese leading high-strength aluminum alloy for bumper anti-collision beam supplier of Tesla, Mercedes-Benz, BMW, Ford, Volvo, Audi, Volkswagen Hay:T06SHYS
China’s fourth supplier of special submerged arc welding wire steel for pipeline steel Hay:A02BTGT
China Carbon Fiber Technology Corporation successfully developed Polydicyclopentadiene (PDCPD) Matrix carbon fiber composite material Hay:D01SHSH
HEXCEL develops high-performance carbon fiber pultrusion technology for the wind energy market
Chinese stainless steel seamless pipe manufacturer successfully developed ultra-thin wall [0.08 mm] stainless steel seamless pipe Hay:A02JSZX
China successfully developed silicon carbide ceramic reinforced aluminum matrix composite brake discs Hay:D04HNJT
Chinese leading PTFE microporous membrane, PTFE fiber and PTFE engineering plastics supplier Hay:F07SDSR
China’s leading supplier of flexible transparent conductive film with embedded microstructure for touch screen Hay:F04SZWD
German Bionic releases fifth-generation carbon fiber exoskeleton
The Fire-resistant ultra-light nano-melamine foam supplier breaks the technological monopoly of BASF Hay:C03ZZFT
Medium carbon high chromium alloy cast steel liner for quenching car: resistance to rapid heating & cooling, wear resistance and corrosion resistance Hay:A04SXHT
Chinese only High-strength radiation-resistant glass cover/lightweight and flexible radiation-resistant OSR glass substrate supplier Hay:B04QHXJ
Chinese leading submarine cable manufacturer builds new submarine umbilical cable production base Hay:F04JSYD
Global Wafer’s 6-inch SiC substrates have been supplied to automotive semiconductor plants
LANXESS and Kautex collaborate to develop large-size all-plastic shell parts for EV high-voltage batteries
Samsung and Dongjin Semichem successfully developed extreme ultraviolet (EUV) photoresist (PR)
The Global leading nickel alloys and titanium alloys pressure vessels,especially reactors and heat exchangers supplier Hay:A04NJZS
Chinese leading precision die casting manufacturer provides Edwards/Atlas Copco with semiconductor vacuum pump precision parts Hay:T02SDFG
The third proton exchange membrane/Perfluorosulfonic Acid Ion-Exchange PFSA Membrane Supplier for Fuel Cell in China Hay:N02JSFY
China’s leading scandium alloy manufacturer achieves commercial production of high-purity scandium oxide Hay:B08BGJT
China established High temperature proton exchange membrane/HT-PEM and PBI electrolyte membrane research center Hay:N02SHQT
The global leading thermal interface materials: Synthetic graphite,Heat pipe and Nano copper supplier Hay:F03SZHL
RUAG Space uses the OOA process to produce carbon fiber reinforced polymer (CFRP) payload fairings.
Chinese leading Stainless steel/aluminum/copper and titanium multi-metal Clad two-layer to seven-layer composite materials supplier Hay:D04JSPS
Chinese company realizes commercial production of high-performance UV reflective film Hay:C04ZGDY
Rampf Composite Solutions provides solutions for composite components in the aerospace sector
Chinese Double Wedge Gate and Plunger Valve Manufacturer Wins Order for Water Hammer Relief Valves from Saudi Aramco Hay:U05ZGHT
The leading LiDAR supplier for intelligent transportation, intelligent logistics, robotics and security monitoring Hay:I06SDFR
Chinese company successfully developed high-strength and tough heat-free die-casting aluminum alloys Hay:A03HBXJ
China leading complex shape silicon carbide ceramic precision structural parts manufacturer Hay:B01NBFE
Global leading Yoke Steel and Silicon Steel Sheet supplier of GE and Siemens Hay:F05TGJT
China’s largest High strength tungsten wire manufacturer builds new production base for photovoltaic tungsten wire Hay:A04XMHL
The leading Oversized Steel Casting Supplier of Siemens and GE Hay:T02NXGX
Zeolite Hemostatic Gauze developed by a Chinese company has obtained the US FDA 510k medical device certification (k211570) Hay:P10HZFC
China’s leading carbon fiber composite manufacturer provides lightweight and high-strength carbon fiber composites for China’s subway trains Hay:D02SHSH
A C Marine & Composite supplies carbon fiber propeller ducts to CMI Offshore
China’s first polybutene-1 plant successfully achieved commercial production Hay:C02SHZH
Solvay invests in carbon fiber reinforced plastic 3D printing specialist 9T Labs
Teijin Launches Advanced Stretch Tow Carbon Fiber [3K (3,000) Carbon Fiber Filament Yarn] Woven Fabric
China’s leading manufacturer of Plastic-steel-plastic composite pressure pipe supplies products for Beijing Winter Olympics venues Hay:D04HBDY
China’s Leading Continuous Glass Fiber Thermoplastic Prepreg Tape Manufacturer and SABIC Jointly Develop Composite Plastic Materials for Vehicles Hay:D02JSQY
Chinese zirconium-based amorphous alloy manufacturer builds China’s largest zirconium-matrix liquid metal production line Hay:H06DGYA
The leading flexitank and container flex liner bag supplier of Coca-Cola, Heinz and Cargill Hay:F08QDLF
China’s largest manufacturer base of silicon-based nano-aerogel composite thermal insulation felt put into production Hay:F03ZHHL
HG Grimme SysTech delivers carbon fiber milling machine
Igus’ UL-certified halogen-free TPE cable meets fire protection requirements in the industrial sector
China has produced the strongest tungsten bulk material for Artificial Sun
The one-piece carbon fiber rear spoiler developed by China’s leading carbon fiber structural parts manufacturer realizes commercial production Hay:D02JSHR
The leading Ultrafine carbonyl iron powder iron-based soft magnetic powder/micro/nano metal powder for inductors[
[email protected]
micron] supplierHay:A05JXYA
China has successfully developed a high-strength and tough molybdenum alloy that can be used in nuclear reactors in outer space
China achieves breakthrough in large-diameter silicon carbide (SIC) single crystal and mass production of high-purity SIC powder Hay:B01ZGDK
Chinese Leading High Performance Electric Contact Composites Materials Manufacturer Expands Precision Carbide Rod Profiles Business Hay:F04WZHF
China’s leading flat metalens supplier Hay:F06SZMT
Insulation materials developed by manufacturers of lightweight insulating ceramic microspheres are used in launch vehicles Hay:F03ZJTY
China builds an additive manufacturing platform for ultra-precise/complex 3D microstructures Hay:H01CQMY
China’s leading ultra-thin polypropylene film manufacturer builds new production lines for optical-grade polyester base films for polarizers and optical-grade polyester base films for ultra-thin MLCCs Hay:C04SCDC
China’s LTCC/ Low Temperature Co-Fired Ceramics powder manufacturer breaks DuPont’s monopoly and successfully achieves commercial production Hay:B01WZJS
EconCore partners with Flaxco to develop Flax fiber reinforced thermoplastic composite sandwich panel
The world’s leading manufacturer of metal-ceramic composite 3D printing engineering tools Hay:H01NBHP
Chinese PVDF flexible piezoelectric film manufacturer breaks international monopoly Hay:H05SDSS
China Successfully Commercially Produces Cr-Nb/Niobium Austenitic Stainless Steel with Resistance to Molten Salt Corrosion Hay:A02JGJT
Schaeffler cooperates with European rare earth supplier REEtec
China’s phenolic hollow microsphere manufacturer provides security for China Shenzhou spacecraft return capsule Hay:F03SDSQ
Wolfspeed’s 8-inch SiC fab has begun commercial production
LG Chem successfully develops high performance flame retardant materials for battery packs
China leading glass-ceramic/electronic window glass manufacturer built New production lines Hay:B04HZQZ
The world’s first heavy-duty truck internal combustion engine crankshaft with a thermal efficiency of over 50% Hay:Q01SDTR
China’s high-purity quartz pipe and quartz boat manufacturer build a new production base for large-diameter quartz glass pipes Hay:B04BJKD
Gen 2 Carbon launches ultra-lightweight 60 gsm carbon fiber nonwoven mat
Carbon fiber textile and prepreg manufacturer HankukCarbon enters strategic partnership with carbon fiber composite wheel manufacturer Dymag
The leading Nano Silver Transparent Conductive Film supplier for Intel and HP Hay:F04SZNF
China’s leading carbon fiber composite structural parts manufacturer provides lightweight high-performance materials for China Aerospace Hay:D02SHHY
Daido Steel has developed the sputtering target “STARMESHTM-β1” for blackening layer
Talgo develops carbon fiber reinforced polymer (CFRP) composite lightweight high-speed train running gear frame
The leading aramid honeycomb panel and carbon fiber composite structural parts supplier for high-speed rail and subway Hay:D02ZZLC
The leading SiC/Tantalum Carbide[TaC] Coated Graphite Tray for Epitaxial Wafer Supplier Hay:I03ZJLF
Chinese super-large titanium alloy forgings manufacturer successfully develops corrosion-resistant alloy forgings Hay:T03LZLS
Chinese ultra-high-purity metal copper, nickel and cobalt supplier release high-purity iron Hay:A01GSJC
The global leading precision motor lamination cores supplier Hay:T01SZFS
China Alnico core supplier occupies 75% of the global market share Hay:F05NJFL
China ultra-fine glass microfiber filter/Vacuum Insulation Panel Core manufacturer develops ultra-pure air purification material Hay:F07CQZS
China’s leading PVC structural foam supplier launches high-performance shock-absorbing composite materials Hay:F01CZTS
The Ultra-thin [0.15mm] precision TA4 titanium tape was successfully applied to the screen lining of Huawei’s folding mobile phone Hay:A04HNJT
Bold Valuely Tech develops inverter carbon fiber housing
The leading Brazing aluminum alloy composite materials, liquid cold plates and multilayer metal composites supplier ay:F03JSYB
The first pure-play 6-inch GaAs foundry in the world
Carbide band saw for cutting large-size superalloys, titanium alloys and duplex stainless steels Hay:T10HNTJ
Chinese 6-inch SiC epitaxial wafer manufacturer begins commercial production Hay:I01XMHT
The graphene-modified copper-tungsten alloy material developed in China has been successfully applied in the power industry Hay:F04GJDW
The leading Precision Aluminum Die Casting Supplier of Denso, Aisin, Parker Hannifin Hay:T02AHRL
Fuel cell metal plate coating technology developed in China will greatly improve the life of bipolar plates Hay:N01SHJZ
China TOP 3 High Voltage Submarine Cable Suppliers
The Leading Hard Rock Wear Resistant Spark Picks Supplier Hay:T10SXQN
The leading Large Diameter Thick Wall Titanium Alloy Seamless Pipes and Large Size Die Forgings Supplier Hay:T03QHZT
China’s largest manufacturer of high-strength and high-model polyimide fibers realizes color construction of polyimide fibers Hay:D01JSAS
The Leading Aluminum Precision Die Casting Supplier of Continental,Bosch and DAIKIN Hay:T02SHPT
The leading Precision Cavity, Lining and Gas Disk Supplier for Semiconductor Equipment Hay:I03SYFC
The Ultra High Purity Metal Sputtering Target Supplier of TSMC and SK Hynix Hay:A01NBJF
“12 MW offshore typhoon-resistant wind turbine main shaft bearing” successfully rolled off the production line Hay:U03HNXQ
Development status of global aramid fiber
The CATL’s largest PCB [Rigid-Flex and HDI] supplier successfully IPO Hay:I04GDJL
The leading carbon fiber composite structural parts supplier for aerospace Hay:D02CCCG
Carbon fiber composite bars made in China are used in seawall projects for the first time Hay:D02SHSH
The leading Aerospace Grade Carbon Fiber and Graphite Fiber Supplier Hay:D02JSZJ
Chinese rare earth supplier successfully achieves commercial production of crystalline grade anhydrous lanthanum bromide and anhydrous cerium bromide Hay:F03TJBG
Carbon fiber and composite material technology innovation center settled in CZ
Zoltek and A + Composites co-developed novel CFRTP UD tape
The world’s most advanced polarizer production line is put into production Hay:F06KSHM
The Leading clad Metal Composite Panels Supplier for Nuclear Power Hay:D04XATL
The leading Basalt Fiber Composites and High Temperature Fire Resistant Insulation Board Supplier Hay:D01JSLC
China’s leading super stainless steel S30432 small diameter seamless pipe supplier Hay:A02CZSD
China’s high-toughness Al-Si-coated hot stamping steel technology breaks ArcelorMittal’s monopoly Hay:A02SZYC
China’s leading manufacturer of stamped precision structural parts builds new production base Hay:T01NBBL
The world’s first Nreal AR glasses received TUV Rheinland’s highest-level display certification in the AR field: Eye Comfort (AR)
Chinese oversized titanium alloy forging manufacturer introduces 100MN SMS forging equipment Hay:T03SXTC
The Leading Titanium Clad Steel Plate and Titanium Clad Copper Bar Supplier Hay:A04BJJC
Chinese precision auto parts maker develops core components for hydrogen fuel cell vehicles for Bosch Hay:T02WXBS
The leading 4.5-6μm PET base film Supplier for PET composite copper foil Hay:C04SDKH
Aluminum-lithium alloys are more suitable for a new generation of narrow-body passenger aircraft materials
Aluminum bronze alloy developed by Chinese high-performance copper alloy manufacturer is used in C919 passenger aircraft Hay:A03NBBW
The Leading High-strength wear-resistant copper alloy precision parts Supplier of Bosch, Mahle and Eaton Hay:A03WHFZ
Showa Denko’s 8-inch SiC epitaxial wafers have been delivered
The Global Leading Microelectronics Soldering Materials: Solder Paste and Solder Wire Supplier Hay:F01SZWT
China’s third ANi36 invar alloy steel manufacturer achieves commercial production Hay:A04LNAG
China’s leading composite manufacturer builds new LNG cryogenic thermal insulation composite production base Hay:F03ZJLY
Another 4μm micron ultra-thin lithium battery copper foil supplier in China achieves commercial production Hay:A03HNLB
Huawei Mate50 uses Chinese-made nano-ceramic glass cover Hay:B04CQXJ
The Leading Nanoscale single crystal lithium niobate thin films & lithium tantalate thin films Supplier Hay:C04JNJZ
The Leading Maglev High Power High Speed Motor and Blower Manufacturer Hay:U01TJFX
China High Performance Thermally Conductive and Electrically Conductive Graphene Film Manufacturer Hay:F04WHHX
Chinese 6-inch Large diameter conductive silicon carbide single crystal substrate manufacturer’s new production base starts production Hay:I01HFSJ
Toray to raise prices of nylon 66 yarns and fabrics for car airbags
China’s leading flat electromagnetic wire manufacturer provides nuclear power ultra-high temperature electromagnetic wire for China’s nuclear power industry Hay:F05CSJB
The leading High Performance Flexible/Fiber Reinforced Stab Resistant Composites Supplier Hay:D01SHGJ
Insight:Ultra fine tungsten wire diamond wire increases market penetration
The Leading High Magnetic Induction Oriented Silicon [HiB] Steel Supplier Hay:F05TGJT
The Leading High precision tungsten molybdenum parts and low oxygen TZM alloy Supplier Hay:A04SXXB
China’s Leading Precision Titanium Alloy Machined Parts Supplier Hay:A04XASC
Carbon fiber and glass fiber mixed thermosetting and thermoplastic fusion products realize commercial production Hay:D02QDGE
China’s tantalum target, titanium target and aluminum target supplier achieved mass production of 5nm technology nodes Hay:A01NBJF
The Leading Halogen free Flame Retardant PC Reinforced Composite Supplier of Samsung and LG Hay:C03SCZW
The Leading ultra high strength [1180MPa] steel cold stamping dies and stampings Supplier of Voestalpine, Hestamp and MAGNA COSMA Hay:T10HNXG
China’s ultra precision parts machining supplier provides ultra precision superalloy parts for LEAP engine Hay:T09BJXD
The Leading Silicon Carbide Fiber and Silicon Carbide Fabric Supplier Hay:B01HNZR
China’s zirconia toughened alumina [ZTA] ceramic substrate technology won the prize Hay:B01HNTM
The global leading superalloy turbine blades and aviation precision forks supplier Hay:T03WXTP
Staubli explores China Fluid Connectors market
Mussel Polymers introduces high-performance Poly catechol-styrenecoatings for carbon fiber and aramid fiber [bending strength and impact energy may be increased by 200%]
The global market share of Chinese MEMS acoustic sensor manufacturer exceeds that of Knowles Hay:I06SDGE
The Leading thin wall precision titanium alloy, stainless steel and aluminum alloy, superalloy castings with complex shapes Supplier Hay:T02XACJ
AeroSHARK riblet film Cutting emissions with sharkskin technology
Nikon and All Nippon Airways Featuring Special Livery to Operate with Nikon’s Riblet Film
SCHOTT AG will show 16 μm Ultra Thin Glass in China
The leading fluorophosphate optical glass and lanthanide optical glass supplier Hay:F06HBGB
Xenia Thermoplastic Specialties Launches New Series of Super Tough Carbon Fiber Composites
China Electronic Materials Technology Corporation has successfully developed ultra-low temperature platinum resistance Hay:F04ZGDK
The Leading Bimetal composite brake disc and brake drum Supplier for commercial trucks Hay:Q02HNHJ
The world’s first super long jointless 500kV ultra high voltage substation cable Hay:F04JSHT
China’s leading manufacturer of carbon fiber composite structures released integrated Type IV 70MPa carbon fiber hydrogen storage system Hay:D02JSHR
The Leading Al-Sn-Cu Bearing Alloy with High Strength and Wear Resistance Supplier Hay:F01HNZC
Chinese precision motor shaft manufacturer provide Bosch and Vitesco with throttle shafts for hydrogen fuel cell vehicles Hay:T09DLMD
SK Nexilis develops high elongation copper foil
The Leading polyimide matrix glass fiber reinforced and aramid heat-resistant electrical insulation material supplier Hay:F04ZJBF
Chinese EMC and thermal interface material manufacturer released high-performance thermal conductive and wave absorbing materials Hay:F03SZHF
The Leading Meta & para aramid paper and aramid paper honeycomb core material Supplier Hay:D01SDMS
The leading reinforced tooth chain and precision sleeve chain supplier for automobile engine Hay:U02QDZH
The Leading Shape memory nickel titanium alloy supplier Hay:H05XAST
Chinese anti irradiation glass manufacturers provide flexible ultra-thin anti irradiation glass covers for spacecraft Hay:B04QHXJ
China Leading Thin Film Nanocomposite (TFN) Membrane Supplier Hay:F07SZPX
The Leading Precision Machined Parts Supplier of Schneider, Panasonic and Siemens Hay:T09NBHY
The Leading W/Cu, Mo/Cu, Cu/Mo/Cu and Cu/Mo70Cu/Cu Packaging Materials Manufacturer Hay:A04CSSH
The leading large-diameter thick-wall copper clad tube and nickel base corrosion-resistant alloy tube supplier Hay:A02JSBY
China’s first Carbon Fiber Composite Cable[CFCC] stayed bridge opened to traffic Hay:D02LZOW
The Only nano-thickness lithium niobate single crystal film supplier of Cambridge and Harvard University Hay:B06JNJZ
Chinese manufacturer of high-performance coating materials successfully developed multi-element composite aluminide coating Hay:M02WHCL
STMicroelectronics will cooperate with Soitec on silicon carbide substrate
Steelhead Composite and Graphmatech are developing hydrogen storage tank improved with graphene
The Leading Powder Metallurgy Gear for Automobile Starter and Shaft Bushing Supplier of Volkswagen and GM Hay:T05HBJL
The Leading Refractive Prism Supplier for Mobile Phone Perimeter Camera Hay:F06CDGM
The Leading naturally degradable vegetable fiber molded tableware Supplier Sam’s Club, Burger King and P&G Hay:C03ZJZX
The Leading biodegradable material PHA Supplier Hay:C03BJWG
China’s ultra-thin nanocrystalline/amorphous alloy ribbon supplier enters high-frequency soft magnetic materials Hay:F05BJAT
China’s supplier of large-sized complex shape die forgings successfully developed main pipeline for AP1000 nuclear power Hay:T03GJZZ
The Global Leading PCI/PTA Balloon Supplier Hay:P02SZYJ
Chinese glass manufacturers provide Lusail Towers with double SGP laminated high-performance coated profiled insulating glass Hay:B04LYBB
The Leading Magnesium Alloy Semi solid Low Temperature Injection Molding Parts Supplier of Ford Hay:T02NBXY
The leading high expansion alloy, nickel copper alloy and copper nickel tin alloy supplier of GE and Siemens Hay:A04XAGY
Successful application of the world’s first super 18Cr corrosion-resistant alloy stainless steel continuous pipe Hay:A02SXYG
Chinese drive motor stator manufacturer develops 8-layer flat wire stator assembly for EV drive motor Hay:T01ZJCY
SiC supplier Resonac signed a multi-year supply and cooperation agreement with Infineon
The leading hydraulic precision slip ring supplier of ABB and Siemens Hay:U07DLYS
The global leading graphene nanotubes supplier OCSiAl launched TUBALL ™ MATRIX 808
The leading Scintillation Crystal & Laser Crystal Supplier Hay:B06SCBY
The leading ultra-wide nickel alloy strip and foil supplier of Panasonic and SONY Hay:A03JSYH
China’s ultra-high strength and low temperature steel manufacturer has obtained all orders for carbon dioxide carrier tank steel Hay:A02LNAG
The leading aluminum honeycomb/sandwich composite material supplier of CRRC, Alstom and Bombardier Hay:D04CZCQ
The leading high-performance alloy embedded screw insert supplier of GE and Siemens Hay:U07HNFW
Core materials for hydrogen fuel cells: carbon paper and gas diffusion layer (GDL) supplier Hay:N02SHTJ
Chinese Nano Fiber/Non woven Fabric Manufacturer Breaks DuPont’s Monopoly in Flash Evaporation Technology Hay:D01XMDS
China’s Super Large Profile Billet Caster Successfully Launched Hay:T02LNHX
The leading biodegradable expandable hemostatic sponge/polyether polyurethane sponge supplier Hay:P10HFQJ
Valqua Ltd. produces giant ultra clean storage tanks for storing chip manufacturing chemicals
Titanium alloy powder produced in China has been successfully applied to 3D printing of titanium alloy microporous structure artificial vertebral body
China’s NdFeB magnet manufacturer has obtained Ford Q1 certification Hay:F05YTZH
TORAY has developed a new welding technology for carbon fiber composite materials (CFRP)
The Leading Precision Casting Copper Rotor and Copper Alloy Die Casting Supplier Hay:T02YTYZ
The leading Silicon Optics with Large Sag and Microlens Arrays Supplier Hay:F06XAJG
Silicon Carbide/SiC Ceramics Structural Components and Parts Supplier Hay:B01JSSZ
The Leading Tantalum Tungsten Alloy & Tantalum Niobium Alloy Supplier Hay:A04BJZS
BYD Acquires The Complex Shape & Precision Aluminum Nitride/AlN Ceramic Structural Components Supplier Hay:B01XMJC
The world’s first megawatt level superconducting induction heating device is put into operation Hay:F03JXLC
The leading precious metal catalysts:palladium catalyst, platinum catalyst and rhodium catalyst supplier Hay:A01HZKD
Superalloy/titanium alloy precision machined parts Hay:T09HNSD
The Leading EMI and Thermal Conductive Phase Change Material Supplier of Ericsson and Nokia Hay:F05SHAL
The Global Leading lithium tantalate Crystal Suppliers
Award of “Preparation Method of Low Water Absorption Polyimide Film” Hay:C04ZZSD
Schott [China] Pharmaceutical Borosilicate Brown Glass Tube Kiln Put into Production
The single point system liquid slip ring developed by a Chinese company has been successfully applied in FPSO projects Hay:U07HYGC
The Leading aramid fiber and aramid composite material supplier Hay:D01YTHX
The large-sized lithium niobate wafer manufacturer’s new production base is put into operation Hay:B06ZJTT
Chinese Thermosetting Benzoxazine Resin Successfully Applied to China’s Large Aircraft C919 Project Hay:C11CDKY
The Leading Precision Micro Shafts Supplier of Bosch and Vitesco Hay:U02DLMD
The leading nanofiber separators/Supercapacitor diaphragm supplier for supercapacitors Hay:C04NBRC
The leading supplier of high-strength aluminum scandium alloy and magnesium scandium alloy target materials Hay:A03HNDF
Taisic Materials Manufactures Taiwan’s First 8-inch SiC (Silicon Carbide) Wafer
The leading Precision Worms and Worm Gears Supplier Hay:U02ZJJJ
SiC-based CMC/Ceramic Matrix Composites are a promising material for many extreme environment applications including aeroengines,
NREL:China’s copper indium gallium selenium/Cadmium telluride power generation glass sets a new record Hay:B04AHKS
Chinese Submarine Cable Manufacturer Won the Bid for European High Voltage Submarine communications cable Project Hay:F04JSZT
SKF collaborates with China’s leading manufacturer of silicon nitride ceramic bearing balls Hay:B01ZCDH
Infineon & Bosch Silicon Carbide Wafer Supplier Releases Latest Technology for 8-inch Silicon Carbide Substrates Hay:I01SDTY
The leading Tungsten copper base supplier for Optical module chip Hay:A04SXSR
4-inch Gallium antimonide/GaSb wafers are mass produced in China Hay:I01QDHH
The supplier of carbon nanotube film and Graphene coated copper composite powder Hay:H02SXTH
The leading ultrafine electrolytic copper powder and solder powder supplier Hay:A05BJYY
The Leading High Performance Graphene Thermal Conductive Film Supplier Hay:F03ZJDM
The leading electro-luminescent fiber supplier Hay:D01YTTH
China T1000 grade ultra-high strength carbon fiber Realized commercial production Hay:D01HBCS
The large size single crystal copper foil realize commercial production in China Hay:A03GDJY
The leading medical titanium alloy manufacturer Hay:A04BJXN
The High Toughness and High Thermal Conductivity Rare Earth Magnesium Alloy for Huawei Magic Vs Hay:A03SXRG
Goserelin microspheres have been approved by NMPA for breast cancer Hay:H02SDLY
Chinese lithium niobate crystal manufacturer achieves commercial production of 8-inch large-sized lithium niobate crystals Hay:B06SDHY
The global orthopedics implants and instruments suppler of Zimmer Biomet/Smith & Nephew Hay:P01ZJKH
Evonik launches implant-grade PEEK filament for medical applications
The Leading Nickel Alloy Capillary Tubing Supplier Hay:A04SHWD
Enovis to Acquire LimaCorporate
The leading superconducting MgB2 wire and NbTi superconducting cable supplier Hay:H07XBCD
The global leading tantalum carbide/TaC Coated Graphite Wafer Carrier suppliers for GaN and SiC Device
Cryogenic stainless steel for liquid hydrogen containers Hay:A05SXTG
Huawei invests in nickel titanium shape memory alloy Hay:H05SHTY
The precise rare and precious metals components supplier of BAYER and BP Hay:A04NJNT
The Global Top Large Size Germanium Crystals and CVD ZnS Supplier Hay:B06YYGJ
The Largest Diameter 8.88 Meters Backing Forged Ring for Hydraulic Piling Hammers Hay:T03ZGYZ
The leading hydrogen transmission pipeline and microbial corrosion resistant pipeline supplier Hay:A02HYGG
The Ultra-Deep 3,500 Meter sea oil and gas steel pipelines supplier Hay:A02ZJGG
DNP Develops Photomask Process for 3nm EUV Lithography
The leading titanium alloy precision casting supplier Hay:T02SYZY
The leading high-purity scandium, Terbium and Dysprosium sputtered targets supplier Hay:A01HNGC
Ultra high strength graphene aluminum alloy Hay:D04SHXT
China leading precious metal fine wire,precious metal alloy strip contact material,precious metal alloy strips and plate,clad/composite wire,platinum/Pt wire: PtW8, PtAg20, AuNiFeZr5-1.5-0.3 Au / Al, Ag / Al wire manufacturer and supplier Hay:A01YNGY
New Ultra-wide and ultra-thin Copper Clad Aluminum/Bimetal composite sheet launched Hay:D04YTFX
China leading High-temperature, corrosion-resistant, wear-resistant high-temperature alloy precision castings, hot-end turbine blades for industrial gas turbines manufacturer and supplier Hay:T02SYZK
China’s special magnesium alloy manufacturer successfully developed the lightest magnesium-lithium alloy sheet, high-strength magnesium alloy sheet; heat-resistant high-strength magnesium alloy sheet Hay:A03NXZT
High precision metal components and fully automated robots:Hydraulic Components, Automotive Components, Robots, CNC Machines and Hard Disk Drive Components manufacturer and supplier Hay:T09SZJM
China amorphous and nanocrystalline soft-magnetic alloys leading manufacturer and supplier Hay:F05ZKNB
China leading ultra-pure alloys, nickel-based, cobalt-based, iron-based high-temperature alloy materials, aluminum (magnesium, titanium) light alloy materials manufacturer and supplier Hay:A04BJGY
China leading high performance spandex fiber and fabric, meta-aramid fiber and fabric, para-aramid fiber and fabric, anti-static fiber, aramid paper manufacturer and supplier Hay:D01YTTH
China leading carbon fiber fabric,aramid fiber fabric,carbon fiber and aramid mixed fabric,prefab of thermo structure material,prefab of carbon brake, carbon / carbon compound materials manufacturer and supplier Hay:D01JSTN
China tungsten and molybdenum alloy ultra-high precision parts and components manufacturer provides first-class products to GE, SIEMENS and PHILIPS Hay:A04SHLJ
China leading Liquid metal material, Liquid metal thermal paste / thermal pad, Liquid metal composite phase change energy storage capsule, Liquid metal flow circulation thermal & temperature control system manufacturer and supplier Hay:H06BJTJ
China rare earth aluminum sheets, strips and foils, Aluminum alloy composite strip, plate and foil, Micro-alloying and microstructural composite aluminum plates and foils, high-precision aluminum advection porous tube manufacturer and supplier Hay:A03JSCL
China leading titanium matrix Composite parts, Titanium alloy / steel composite tube sheet, Tantalum / zirconium / titanium, zirconium titanium composite sheet, stainless steel / carbon steel composites manufacturer and supplier Hay:D04NJBT
scandium containing aluminum alloy supplier Hay:A03ZZQY
Leading Metal Matrix Composites Supplier Hay:D04XATL
China leading front Silver Metallization Paste For Silicon Solar Cell, LED solid crystal conductive adhesive, semiconductor chip bonding conductive adhesive manufacturer and supplier Hay:I02WXDK
China Nano Spherical Aluminum Powder, Ultrafine Nickel Alloy Powder, Cobalt Alloy Powder, Titanium Alloy Powders Ti6Al4V, Tungsten Carbide Powder, Ultrafine Silicon Powder, Stainless Steel Powders, Copper Powder manufacturer Hay:H01AHZT
China Top Large Size Seamless Ring Rolling Forgings,Wind Turbine Forgings,Pipe Flange / Pylon Flange,Disc Forgings,Forged Hollows Manufacturer and Supplier Hay:T03SDYL
The high purity tantalum wire and molybdenum thin strip supplier Hay:A03ZGYS
The integral forming technology of silicon carbide ceramic structural parts won the Geneva International Gold Award Hay:B01WHZQ
高级采购管理咨询:战略采购计划可以有效降低采购成本
Indirect Material/MRO Strategic Sourcing & Procurement Management Consulting
The most professional sourcing procurement agent in China
ADL矩阵/利特尔矩阵(ADL Matrix)
AGC and Roland DG establish digital precision 3D ceramic printing joint venture in China
波特价值链分析模型(Michael Porter’s Value Chain Model)
Michael Porter波特行业竞争结构分析模型
威廉姆翁肯 (William Oncken)猴子管理法则
费斯汀格法则Festinger Law
功能固着心理(Fixed- fuction Psychological State)
4Cs营销理论(The Marketing Theory of 4Cs)
定制营销(Customization Markting)
交叉营销(Cross Marketing)
伙伴营销 (Partnership Marketing)
壁垒营销(Focalization Marketing)
松下幸之助的水坝式经营 (Dam Operation)
品牌管理 (Brand Management)
开明管理(Open Management)
钩稽管理(Innovation and Practice Management)
灵捷管理 (Celerity Management)也称敏捷管理(Agile Management)
T型管理(T Management),即在公司内部自由地分享观点、知识和技能,同时致力于业务单元业绩。
客户关系管理(Customer Relationship Management,CRM)
EVA经济附加值管理 (Economic Value Added, EVA)
跨文化管理 (Span-Culture Management)
管理驾驶舱(Cockpit of Management)监视KPI指标的“仪表盘
薪酬外包管理 (Salary Episodic Management)
精益管理/Lean Management
战略采购管理咨询服务
世界500强先进制造企业:采购管理如何从优秀到卓越,为企业创造价值
世界500强外企采购管理经验谈:如何避免采购部门被架空
外企采购管理中的采购成本分析:Should Cost Analysis[采购成本管理之成本分析与建模” ]
世界500强外企埃克森美孚EXXON MOBIL采购经理分享设备类固定资产采购技巧
世界500强外企Delphi德尔福采购经理谈判供应商关系管理SRM战略
高级采购谈判技巧之:六个令人惊奇的谈判策略,让你达成最佳协议
富士康/鸿海精密工业精益生产专家谈:定义精益生产战略的10个步骤
陶氏杜邦采购经理:十种提升供应商关系管理SRM的战略10 Strategies for Improving Supplier Relationship Management
供应商管理培训心得:What Is Supplier Management?
利用采购支付分析工具控制采购支出 Control Your Spend with Spend Analytics
供应商关系管理非常重要?Should You Invest in Supplier Relationship Management?
如何设计完美的采购组织管理运营模型DESIGNING THE PERFECT PROCUREMENT OPERATING MODEL
战略采购开发指南The Ultimate Guide To Strategic Sourcing
战略供应管理投资回报模型ProMA
采购战略管理咨询机构:采购战略之 采购联盟/团体采购组织 Sourcing community_A4/64
采购战略管理咨询培训之 全方位支出管理 Closed loop spend management B2/64
采购战略管理咨询之 基于采购的设计Design for sourcing B7/64
高级采购管理培训课程 产品对标 Product benchmark C5/64
专业采购管理培训课程 采购申请技术规范评估与澄清 Specification assessment D8/64
采购管理咨询与培训课程 产品复杂度简化 Complexity reduction D5/64
采购供应战略管理之 供应市场情报 Supplier market intelligence E3/64
供应商战略管理培训 供应商分层管理 Supplier tiering E7/64
采购管理培训与管理咨询 解释性竞标 Expressive bidding F4/64
采购谈判技巧培训之 基于成本的价格模型/成本驱动要素模型 Cost-based price modeling G1/64
采购工程师培训课程 非捆绑价格 Unbundled prices H3/64
国际著名采购战略咨询与培训公司 总体生命周期概念Total life-cycle concept G6/64
采购战略管理咨询与培训机构 战略联盟 Strategic alliance H8/64
战略采购管理培训课程:战略采购所需的跨职能部门协作模型
采购数据管理:利用大数据打造采购管理及团队核心竞争力
战略采购管理咨询顾问机构:采购组织转型 采购能力之技术与智能 5/5
非生产性/间接物料采购管理培训课程 2020年后的间接采购管理变革
International high-end management companies talk about the human factors you must know in purchasing in China 1/4
采购管理咨询顾问服务 企业并购后的采购协同效应
高端采购管理咨询与培训课程 采购部门的激励措施很有意义
采购成本控制与供应商谈判技巧 采购应付成本建模 Should Cost Modeling培训课程
国际高端采购管理咨询顾问服务机构 采购的创新储备管理为企业提供源源不断的价值创造
供应商关系管理SRM培训课程与机构 积极实施战略供应商管理 1/5
外企采购/销售Sourcing Engineer工程师培训课程 采购管理体系知识对销售和市场营销人员的启示:站在采购的角度做销售
战略采购管理培训与咨询顾问服务:三个对于采购总监/首席采购官CPO来说至关重要的成功要素3/3
战略采购管理咨询服务与培训机构:企业的采购职能部门进行变革已经势在必行
谈判策略和谈判技巧,如何赢得困境谈判
世界级战略采购组织的管理目标主要有哪些?Strategic Souring Objectives
Formula for Success in Supply Management
Strategic Sourcing & Procurement Solutions and Performance Excellence Booster
Strategic Supplier Relationship Management
Harness The Sources of Value Through Different Sourcing & Procurement Levers
Turning Indirect Sourcing Into A Multimillion-dollar Profit Center
China Advanced & High Performance Non-ferrous/Magnesium Alloy Materials Industry
Intelligent Deal Structure In Procurement Strategies: Change Nature Demand B6-Kearney
Contract Management In Procurement Strategies: Manage Spend B1-Kearney
Master Data Management In Procurement Strategies: Manage Spend C3-Kearney
Functionality Assessment In Procurement Strategies: Change Nature Demand C8-Kearney
Complexity Reduction In Procurement Strategies: Change Nature Demand D5-Kearney
Make or Buy Decision In Procurement Strategies: Leverage competition among suppliers E2-Kearney
Expressive Bidding In Procurement Strategies: Leverage competition among suppliers F4-Kearney
Supplier Tiering Management In Procurement Strategies: Seek joint advantage with supplier E7-Kearney
Cost-based Price Modeling In Procurement Strategies: Leverage competition among suppliers G1-Kearney
Total Life-cycle Concept In Procurement Strategies: Seek joint advantage with supplier G6-Kearney
Strategic Alliance In Procurement Strategies: Seek joint advantage with supplier H8-Kearney
Unbundled Prices In Procurement Strategies: Leverage competition among suppliers H3-Kearney
Volkswagen’s Sourcing & Procurement Management: Structural RfQ And RfQ Management
China’s largest high-strength and high-toughness deformed rare earth magnesium alloy production base is put into operation Hay:A03CCZK
Chinese chemical company Bluestar Group acquires 100% stake in Elkem, a leading company in the global silicon materials industry
China Metal Matrix Composites Technology Corporation Provides Large Thickness Copper + Stainless Steel Composite Panels for ITER Project Hay:D04TGJT
China Motor Control Systems ,Charging Systems Manufacturer & Supplier
Corning Chongqing 8.5 generation LCD glass substrate production line officially put into production, supplies to Chinese panel manufacturers
Delphi Automotive PLC Acquires HellermannTyton Group PLC
INVISTA and LanzaTech in New Zealand are developing bio-based pathways
DSM composite resin business will be renamed “Aliancys”
Magnesium Elektron and Biotronik develop bioresorbable magnesium coronary scaffold
Ultra-thin stainless steel foil was developed Hay:A05SXTG
China has achieved a major breakthrough in the field of titanium and aluminum alloys for aero engines
China Leading Manufacturer and Supplier of High precision screws and barrels for plastic extruder Hay:U02ZJHY
German scientists use nickel-titanium smart alloy materials to make artificial muscles
China Leading manufacturer and supplier of Vortex air pump,air ring vacuum pump,air pump Hay:U04ZJGL
Evonik acquires J.M. Huber’s silica business for $ 630 million
TSMC’s third-quarter net profit of $ 3.08 billion, up 28% year-on-year
Covestro China doubles production capacity to become world’s largest polycarbonate producer
Osram acquires Novità Technologies to expand U.S. automotive LED market
Osram Opto: Potential Development of Optical Design and Blackbody Packaged LEDs
Samsung Electronics has started mass production of 10-nanometer technology mass-produced system chips
Force majeure at Solvay-Invista:The price for nylon PA66 will rise again
Vedanta Resources, London Quarterly aluminum output hits record high
The Dow Chemical Company’s 60,000-ton / year acrylic emulsion production line begins construction in China
China Inorganic fluoride company disclosure report: quarterly net profit of 128 million yuan
China aluminum profile manufacturer Zhongwang transportation equipment aluminum profile passed assessment of origin protection
Specialty polymer supplier Solvay plans to expand polysulfone (Udel PSU,PPSU and PESU) capacity
UBE Industries Expanding nylon capacity again
TRUMPF to launch TruPrint 3000, a larger metal 3D printer
The Royal DSM Group launches new generation of ForTii Ace high-performance polyamide products as a cost-effective alternative to PEEK
Ecoplexus starts 30MW solar project in North Carolina
BASF gradually restarts steam cracker Equipments and Devices
Alcoa spin-off company Arconic is developing 3D-printable smart ink metal powder
China Leading Lithium carbonate Manufacturer and Supplier Cooperated With BYD form new company to start 30,000-ton lithium carbonate project
Celanese K 2016 launches five innovative polymers:Celapex High Flow PEEK, Celstran High Flow LFT, Fortron Flexible PPS, Hostaform M25IE POM, MetaLX Metallic Gloss Appearance Nylon
CNBM and camLine cooperate to produce cadmium telluride thin film photovoltaic modules
Arkema boosts PVDF Polyvinylidene fluoride production in China
Japan’s automotive cable maker Sumitomo Group plans to build a new cable plant in Romania
WACKER Chemical’s Q3 polysilicon sales grow steadily
XJet showcases world’s first direct metal inkjet 3D printer
US bankruptcy court approves China company GCL-Poly acquisition of SunEdison FBR assets
China company Leyard plan to acquire Plan to acquire U.S. optical giant Optitrack parent company NATURALPOINT,INC. for $ 844 million
Evonik VESTAKEEP® polyetheretherketone material for plastic steel frame
LG Chem plans to spend $ 100 million to expand ABS production at Chinese plant
Development status of Chinese precision stamping mold enterprises 2016
China’s special steel manufacturer supplies high-temperature alloy parts and super-alloy fine thin-walled tubes for Long March series hydrogen-oxygen engines Hay:A04BGTG
BASF and HP collaborate on large-scale industrial production of 3D printing
Global building exterior coatings market is expected to reach $ 39.04 billion by 2024
Chinese titanium alloy manufacturer produces 400MPa grade titanium alloy for seismic observation well project Hay:A04ZCZG
PPG completes sale of shares in PFG fiberglass joint venture
Dow Green Building Technology Supporting China’s Building Technology
AUO Kunshan Plant successfully mass-produces sixth-generation LTPS LCD panels
LANXESS unveiled China Coat 2016 with highly effective and sustainable coating chemicals
Henkel prepares to enter 3D printing industry, aims to develop special material solutions for additive manufacturing
China Glass Fiber Manufacturer and Supplier officially starts construction of a US $ 300 million fiberglass project in the U.S.
Mitsubishi Heavy Industries plans to build polyethylene production line for ExxonMobil
China Manufacturer of organic pigments Lily Group is successfully listed today. Fundraising is invested in a project with an annual output of 8,000 tons of high-performance and environmentally-friendly organic pigments.
Axalta, an internationally renowned paint system manufacturer, acquires well-known painter Ellis, bringing Ellis’ premium brand into the system
China Leading Manufacturer and Supplier for Carbon fiber bicycle parts components and accessories: aluminum alloy wheels, alloy carrier Hay:A03TSJH
China Manufacturer and Supplier for PCB Photosensitive Ink, Photoresist, Ancillary Chemicals, Specialty Ink and Other Electronic Chemical Materials RD photosensitive successfully IPO
China’s special aluminum alloy material manufacturer, developed ultra-thin 0.12 mm mirror aluminum foil with internationally advanced indicators to achieve import substitution Hay:A03ZLYJ
China Leading Manufacturer and Supplier of Holographic security film,Hot Stamping Foil, Hologram Stickers, Water Transfer Printing Decal, Metallized Paper, Security Hologram Hay:C04WHHG
China leading Aluminum bronze alloy manufacturer and supplier Hay:A03ZZQY
2016 Global Chemicals Billion Dollar Club list:Akzo Nobel, PPG, SHERWIN-WILLIAMS, RPM, Valspar and other world paint giants are on the list,Nippon and Axalta dropped
Honeywell will provide a series of process technologies for China refining and chemical integration project to date
Global science & technology innovator, Danaher Corporation, a leader in industrial instruments and equipment in China
China Manufacturer and Supplier for Ultra-clean high-purity reagents, photoresist supporting reagents successfully IPO
China Polysilicon, Wafer Photovoltaic Product Supplier:GCL-Poly acquires US-based photovoltaic material SunEdison for $ 150 million
Evonik over 80 VESTAKEEP® polyetheretherketone devices approved by the US Food and Drug Administration (FDA)
AkzoNobel, EY launch new online tool to track biological raw materials
Liquid metal and amorphous alloys development
Global Leading Supplier of optoelectronic display materials DX to acquire 51% stake in Mingshuo Technology, a manufacturer of high-power LED lighting equipment and integrated lighting solution with graphene cooling technology
China carbon black manufacturer successfully developed coal tar-based conductive carbon black, graphene / conductive carbon black composite material production technology Hay:B06SXYD
Global leading manaufacturer for polycarbonate resins: Covestro Announces Polycarbonate Production Capacity at Shanghai Base to Increase to 600,000 Tons / Year
Solvay’s Udel® P-1700 PSU helps MTTS successfully develop cost-effective Bubble CPAP integrated ventilator
Polyscope, the world’s leading styrene maleic anhydride (SMA) copolymer manufacturer, unveiled at Chinaplas 2017, XIBOND product portfolio optimizes polymer blends
Chinese lithium battery material maker acquires 100% stake in BASF China battery materials company
Dow Introduces Innovative Biaxially-Stretched Polyethylene Material to Meet Commercial Mass Production Needs
Global leading transmission gear steel supplier of Mercedes-Benz, BMW and Audi Hay:A02HGSG
Evonik and Sanju Company establish strategic cooperation in the fields of catalyst and carrier technology, membrane separation technology, other special chemical products and additives
Kyocera acquires wholly owned fastener manufacturer Senco Holdings Inc
Renishaw and Aeromet work to optimise high-performance alloy A20X
Cabot Asia Pacific Technology Center unveiled in Shanghai new R & D center will enhance application innovation capabilities in Asia Pacific
China’s leading vermicular graphite cast iron diesel engine block manufacturer provides products for Ford Ecotorq heavy-duty diesel engines Hay:T02SXJL
China BMG: Bulk Metal Glass technology leader will appear at the
Farsoon Tech, China’s industrial 3D printing leader, and BASF further promote 3D printing industrialization
China Leading Manufacturer and Supplier for Diamond saw blank, diamond laser saw blank, hard alloy saw blank, metal cooling Eager circular saw blade Hay:T10HBHX
Flame retardant material giant LANXESS introduces new halogen-free flame retardant polyamide
arkema boosts 30% production capacity of its Sartomer light-curing resin N3xtDimension
DuPont 3D printing materials Hytrel thermoplastic elastomer and DuPont Zytel nylon wire for sale in China
CTI-ORELIS Group Acquires CeraMem Business from Veolia Water Technologies to Collaborate on Advanced Ceramic Membrane Solutions
The world’s first 8-inch silicon-based gallium nitride mass production line was put into production
3200T magnesium alloy die-casting production line of magnesium-aluminum alloy precision die-casting parts manufacturer officially put into operation Hay:T02CQBA
Nippon Paint ‘s annual production of 385,000 tons of paint project begins construction
China Top Manufacturer and Supplier of commercial vehicle stabilizers, Air suspension system,solid stabilizers, hollow stabilizers, multifunctional stabilizers, cab stabilizers Hay:Q02YZDS
China Precision Auminum Die Casting Products:Components & Parts Suppliers and Manufacturer
Shell provides CARIPHALTE® asphalt products and Shell FLINTKOTE® Bridgecoat bridge deck waterproof coating products for the Hong Kong-Zhuhai-Macao Bridge
Solvay and Apollo Medical Extrusion discover increased market interest in revolutionary medical microtubule material Veradel® HC A-301 PESU
3D printed titanium alloy powder, metal matrix composite, multilayer metal composite manufacturers meet with Airbus China president Laurence Barron and his team Hay:D04JSYB
China Leading Manufacturer and Supplier for Precision MIM/CIM:Metal/Ceramic Powder Injection Molding,BMG:Bulk Metal Glass Parts/Hay:T05SHFC
“Hydrophilic and Lipophilic” New Composite Sponge Launched to Cope with Oil Spill
China Leading Manufacturer of high strength special fasteners annd anchor bolt for wind power equipments Hay:U07HNFW
China Manufacturer for High-performance basalt continuous fiber, basalt fiber bulked yarn, basalt fiber decorative insulation board, basalt fiber casing, basalt fiber mesh cloth Hay:D01ZZDD
China Leading Manufacturer and Supplier of Functional Additives/masterbatches for BOPP films,cast film, blown film and POF film
PPG announces acquisition of architectural coatings and coatings wholesaler ProCoatings
Chinese manufacturer of high-strength titanium alloy seamless pipes for oil wells has made a new breakthrough Hay:A04HBHX
China’s high-precision ultra-thin aluminum foil leading manufacturer mass-produces the world’s thinnest aluminum foil (thickness 0.0045 mm) Hay:A03YNHX
SABIC launches new high-strength UDMAX thermoplastic composite tape
Luxshare Precision,a global designer and manufacturer of cable assembly and connector system solutions Will split the camera module business
Air Products & Chemicals wants $ 1.5 billion to acquire China’s largest industrial gas producer
Solvay’s Ixef® PARA helps ECA Medical Instruments develop next-generation Cervical-One disposable surgical instrument kit
Solvay, the world’s leading specialty polymer supplier, has partnered with Essex Furukawa Magnet Wire Europe to develop innovative KetaSpire® PEEK polymer-coated electromagnetic wire technology that has been recognized by Magna Powertrain
BASF Nutrition Brand Newtrition® Asian Research Fund Project Launches Call for Proposals 2018
The application advantages of carbon fiber composite materials on the robot arm are outstanding
Dow Performance Silicones Introduces Unique New Noise Cancellation Additives for Automotive Interiors
Colloids Group launches new T-TEC high temperature engineering polymer masterbatch and conductive ESD modified masterbatch
Insulation Felt can withstand high temperatures of 3000 ℃ provides protection for the pump swing rocket engine of China super-heavy load rockets Hay:F03ZHKG
Eastman’s next-generation polyester MXF221 resistant to medical disinfectants will debut at CHINAPLAS 2018
Clariant, the world’s leading specialty chemical manufacturer, helps China “toward an intelligent and sustainable future”
Carbon fiber materials in aviation: not metal, better than metal
LANXESS Introduces New Pouring Polyurethane Elastomer Prepolymer Vibrathane 7085
Solvay launches new generation of Solef PVDF
China leading supplier and manufacturer for electrical contact materials,Silver alloy wire,Chip contact,Powder metallurgy contact,Multilayer contact materials IPO Hay:F04FDHJ
3M launches new hollow glass beads Glass Bubbles S32HS
Sabic Introduces New Polycarbonate (PC) Sheet Lexan Margard FHC10
Dow Water Solutions Business Unit showcases milestones in technology development at 2018 Shanghai International Water Show: ion exchange resin AMBERLITE, reverse osmosis membrane FILMTEC
Dow Attends CES Asia 2018, Introduces New Silicone Thermal Gel, Provides Highly Reliable Thermal Management Performance for Smartphone Components
Refractories for Tundish: Steel Fiber Reinforced Low-cement Castables, Phosphorus Free Spray Mixes, Tundish Dry Vibration Mixes, Slag Dam, Impact Pad, Protection Pad, Seating Block, leading manufacturer and supplier Hay: F03HNPN
Dow Chemical launches six-sided elastic PU material
Dow Innovative Release Agents and Pressure-Sensitive Adhesive Products Attend 2018 International New Display Technology Exhibition
China Leading Manufacturer and Supplier of Pressure sensor, oxygen sensor, temperature sensor, PTC thermistor, NTC thermistor Hay:R03SZAP
Chinese photoresist photoinitiator (including photosensitizer, photoacid generator, etc.) and photoresist resin manufacturer QL and LG Chemical intend to jointly establish an OLED material evaluation laboratory
Italpresse-Gauss all-round light alloy casting technology helps lightweight cars | CHINA DIECASTING 2018
BASF and Materialise strengthen collaboration to optimize 3D printing materials and software
Chinese power battery material manufacturer invests 2 billion to create new power battery silicon carbon anode material
Xaar PLC and Stratasys Form 3D Printing Joint Venture to Develop High Speed Sintering Technologies
Large-scale silicon wafer silicon material large-scale base for integrated circuits in China starts
Domtar enters commercial partnership with Prisma Renewable Composites
BASF’s TDI plant restart will have an impact on Covestro and the market in Germany
SABIC launches a new STADECK heavy panel for the construction and construction industry
Chinese power battery company Xiongtao Co., Ltd. plans to build advanced technology research institute with Wuhan University of Technology to do better and stronger hydrogen fuel cell industry
South Korean aluminum alloy extrusion company AK successfully produced 700x2400x5000mm ultra-large aluminum slab
China Lithium Battery Manufacturer Yiwei Lithium Energy: Signs “Supply Contract” with Daimler AG to Provide parts and components for Lithium Ion Batteries
Imperial College London, Caterpillar and AVID collaborate on new battery energy storage system
FortMe and Multi collaborate to improve predictability of composite 3D printing
Continental Structural Plastics (CSP) of the Teijin Group plans to establish a sheet molding compound (SMC) production line at its plant in Planes, France
BASF and Reebok to release more 3D printed Liquid Speed shoes in the future
IDC: Global 3D printing spending will reach $ 23 billion by 2022 Materials spending will reach $ 8 billion
29 carbon fiber composite parts weigh only 46.7kg, the first mass-produced pure electric supercar K50 will be launched tomorrow
Florida surgeon uses CONFORMIS 3D printed implant for hip replacement
Hungary MOL Group cooperates with German company APK, is expected to become the leader of the multilayer plastic recycling industry
Chinese electronic chemicals & functional materials manufacturer invests in lithium bis (fluorosulfonyl) imide (LiFSI) project
Huntsman acquires Demilec’s MDI business, EBITDA grows by 50%
LANXESS plans to sell the remaining 50% of ARLANXEO’s joint venture to Saudi Aramco
China’s plastic additives leader Rike Chemical plastic modifier project officially put into operation
China Leading Manufacturer and Supplier for Superfine electronic yarn, high-end electronic grade fiberglass cloth
French automotive parts supplier Hutchinson SA introduces thermoplastic products that can replace EPDM door seals
China Special Stainless Steel Manufacturer: Mass production of the thinnest stainless steel foil with a thickness of only 0.02 mm Hay:A02TGJT
After the United States Hemlock and German Wacker, the world’s third largest semiconductor silicon material manufacturer, high-purity silicon material, electronic grade polysilicon, the silicon-based electronic material key laboratory was approved by the
German ZSK company developed a custom fiber placement process TFP can reduce the cost and loss of composite materials
German Cevotec company extends Fiber Patch Placement to sandwich structures, large aerostructures and enables combining with AFP
Chinese optoelectronics company invested 438 million to build an annual output of 48,000 high-precision metal masks for AMOLED Hay:I03LCGD
Amorphous alloy material breaks through, “innovative magnetic field” develops new kinetic energy
Mantis Vision, the world’s leading mobile 3D sensing developer, acquires Alces 3D sensing business
Chinese medical company develops new porous tantalum artificial bone using tantalum metal as material Hay:P01CQRZ
Hennecke launches new brand Hennecke OMS after acquiring OMS
Chinese manufacturer provides high quality ethylene glycol (MEG) for Honeywell pressure swing adsorption (PSA) technology
China’s copper indium gallium selenium thin film photovoltaic building integration technology and application have made breakthroughs
Harbin Institute of Technology State Key Laboratory of Metal Precision Hot Working Made Important Achievements in Research on Amorphous Alloy Microstructure
Dongpeng New Materials, the manufacturer of lithium ion electrolyte lithium hexafluorophosphate was acquired
China Minmetals lithium-ion battery cathode material production base expected to start production in December
Longda Electronics launches a series of Mini LED products
First successful application of graphene aerogels in fuel cells
Metallic foams could soak up sound in high pressure, high temperature environments such as jet engines
Epsilor reveals wearable power supply for soldiers
Refractories for Iron Making: Shotcretes for Throats of Blast Furnace, Brick Lining, Hot Gunning Mass for Hot Metal Mixer, Al2O3-SiC Bricks, Al2O3-SiC Castables, Clay-Based Mortar, Si3N4 Bonded SiC Brick leading manufacturer and supplier Hay: F03HNPN
China’s annual production of 3 million ceramic substrate projects put into trial production
Betatype 3D prints 384 headlights, demonstrating the value of metal additive manufacturing in automotive production
Why wind power has become a breakthrough in carbon fiber applications
China’s first physical graphene production line goes into operation
Velo3D launches first sapphire system metal 3D printer
Composite machine manufacturing on the rise
Ford files patent on 3D printed brake discs using laser deposition welding technology
SmarTech Publishing: Polymer powder bed fusion technology will become the fastest growing field in 3D printing
Microalloying Technology Center: Niobium-containing steel promotes lightweight development of commercial vehicles
Application of carbon fiber composite materials in medical field
AkzoNobel on the list of “The Netherlands’ 50 Most Valuable Brands 2018”
Scania invests in [Corebon] a manufacturer of carbon fiber-reinforced plastic parts
China’s synthetic leather polyurethane manufacturer Huide Technology IPO raises funds to expand polyurethane resin and its modified product capacity
TenCate Advanced Composites, a subsidiary of the Toray Group, showcases new thermoplastic and thermoset composite solutions
Autoparts company Solaxis Ingenious Manufacturing uses Stratasys Fortus 3D printed fixtures to improve assembly accuracy
China’s permanent ferrite materials and soft magnetic materials manufacturers’ magnetic materials industry sales revenue in the first half of the year increased 22.95% year-on-year Hay:F05HDDC
China Flexible display developer gains E + round investment from Pacific Century Capital, post-investment valuation of nearly $ 5 billion
Hexion Automotive Composites and Fraunhofer reach agreement to develop lightweight composites for vehicles
Nano Dimension announces sale of two circuit 3D printers to U.S. military
Hexion introduces innovative polyol technology for polyurethane and polyisocyanate foams
Callaway Cars’ Corvette series modified car Callaway AeroWagen uses epoxy carbon fiber composite resin
Chinese special ceramic material manufacturer successfully develops high stability and high thermal conductivity silicon nitride substrate Hay:F03GSYS
Chinese precision copper foil manufacturer’s 10,000-ton power lithium battery copper foil project puts into operation Hay:A05NDGF
Dow Performance Silicones Introduces New Silicone Additives
LANXESS: Promotes Additives Business with Acquisition of Chemtura, Solvay’s Phosphorus Additives
Covestro: Polycarbonate Brings Durable Performance and Aesthetic Housing to Disposable Wearable Drug Delivery Devices
Corning to showcase precision glass solutions for semiconductor microelectronics
Trexel`s Microcellular Foam Technology:MuCell Micro Foam
LyondellBasell Industries and Covestro start circulating steam project in Maasvlakte port area
China precious metal industry catalyst, precious metal powder and electronic slurry, precious metal catalytic precursor material industrial park opened
China Manufacturer and Supplier for Electrified railway overhead wires, high-conductor alloy copper, high-temperature wires, high-conductance precision ultra-fine wires, high-precision wiring harnesses Hay:A05ZWJT
Chinese aluminum alloy manufacturer starts construction of 3 million lightweight Spin casting aluminum alloy wheels and aluminum suspension brackets
New gallium oxide MOSFET with composite dielectric to support field plate metal withstand voltage exceeding 1800V
Global leading aluminum-beryllium metal matrix composites/MMCS manufacturer and supplier Hay:D04USMA
High-Temperature Creep Testing of a Superalloy Bond Coat
WACKER POLYMERS certifies binders based on renewable raw material VINNECO
Invested about 3.6 billion yuan. Shengao Chemical plans to build a rubber additive industry chain project in Ningyang.
Gunther Werks supplies carbon fiber structural parts and new kits for Porsche 933 era
Osram Opto Semiconductors OSLON® Black Series SFH 4715S infrared LED (IRED) enables driver monitoring
China’s quartz material manufacturer has achieved a breakthrough in Ultrafine amorphous high purity quartz powder, silica powder technology with a purity exceeding 99.999%, breaking UNIMIN monopoly! Hay:B04JSHH
China’s “hidden champion” in the field of consumer electronics coatings
China Leading Manufacturer and Supplier of military life safety protective clothing fabrics and military equipment fabrics, Military anti-infrared camouflage stealth fabric Hay:D01MYJX
Bio 3D printing company Aether and UCLA collaborate to develop artificial muscles
The glass material prevents moisture from penetrating more thoroughly! INFICON Instruments and SCHOTT Jointly Release Innovative Solution for New Energy Vehicle Battery Sealability
Additives and subtractions: 3D Systems and GF Machining Solutions, a company of Georg Fischer AG, announce collaboration to launch DMP Factory 500, a scalable seamless metal part manufacturing platform
Huntsman Polyurethanes’ unique shoemaking material: new polyurethane 3D bonding technology revolutionizes shoemaking
Axalta: Powering China’s High Speed Railway Transit Waterborne Paint Market
The future of medical materials and medtech innovation
Quik-Shield® 108YM, Ultra Low Density Open Cell Spray Polyurethane Foam (SPF) Insulation Product from SWD Urethanes
American Momentive to be acquired by South Korean KCC for $ 3.1 billion
Chinese power battery manufacturer BAK and American new energy innovation company Cadenza Innovation cooperate to produce “super lithium batteries” and modules, with mass production expected in 2019
China’s rare earth oxide, rare earth metal, rare earth permanent magnet material manufacturer’s academician workstation officially unveiled
National Institute of Aeronautics NIAR collaborates with ASTM International’s Center of Excellence for Additive Manufacturing to make significant progress in additive manufacturing and automated composite technology
Lithos Energy launches fourth-generation high-voltage liquid-cooled battery pack with higher energy density and better safety
Japan’s nickel metal companiesc plan to expand battery metal business, plans to rank third in nickel output globally by 2021
Chinese Electromagnetic Material Manufacturers: Application of Electromagnetic Shielding and Thermal Solutions to 5G Hay:F05SZRF
Synaptics launches VR display chip for 1000 PPI display
The key technology for the production of aluminum alloy foil and strip for deep drawing tanks has reached the international advanced level through identification Hay:A03SDNS
China manufactures heterogeneous twin nano-gold catalysts resistant to 1100 ° C high temperature
SABIC and Clariant Additives and High Value Masterbatch Division Form “High Performance Materials” Business
AddUp acquires Poly-Shape, a metal 3D printing service provider
Researchers perfectly transfer nanowires onto a flexible substrate
Leading 3D Printing aluminum powder material, spherical aluminum powder material for metal additive manufacturing and aluminum-based composite materials Manufacturer and Supplier Hay:H01BJBH
Dow DuPont will split part of business to form new Dow
Alexis Walsh APEX collection combines traditional fashion with 3D printing
Ultra-wear-resistant nano-composite material for friction linings and engine bearings Hay:Q02WHYF
Advanced materials technology company Talga Resources and British polymer manufacturing and technology company Biomer Technology jointly develop graphene in TPU
SGL Carbon Group Opens Carbon Fiber Reinforced Plastic (CFRP) and Fibre Placement Centre
Lithium silicide (LixSi) modified lithium foil as lithium battery anode or promote the commercialization of lithium metal batteries
A research team at NUST MISIS Pressure Metal Processing has developed a new technology that simplifies the process of hot-rolled seamless pipes made of alloys and high-alloy steels.
BASF develops world’s first polyethersulfone PESU-based particle foam
GKN Powder Metallurgy partners with HP to use new adhesive spray technology to make metal parts for cars
Derek Straub, Lincoln Labs head of additive manufacturing, talks about the future of 3D printing
Westlake acquires French Nakan, expands composites business
Japan company manufactures new nano cellulose composites
Thermal insulation materials: foam-type thermal insulation materials, composite silicate thermal insulation materials, calcium silicate products thermal insulation materials and fibrous thermal insulation materials
China Leading Manufacturer and Supplier of marine ship centrifugal pump, submersible pump, vortex pump, diesel engine emergency fire pump Hay:U04JSZH
Mixed metal in wood-based Hybrid foam, Germany Fraunhofer develops new high-strength composite material
Siemens and Novatec reach a strategic partnership to collaborate in gas-related fields
GE Aviation celebrates 30,000th metal 3D printed fuel nozzle offline
China Leading Manufacturer of electronic substrates such as high-precision electronic copper foil, various types of copper clad laminates Hay:A03GDCH
XGnP® graphene nanosheets and other advanced materials market leader XG Sciences expands graphene capacity and promotes graphene commercialization
Wilson Tool International, the world’s largest independent mold maker, establishes 3D printing department Wilson Tool Additive, ushering in a new era of fixture and tool manufacturing
China will add an ESP Endless strip production full headless ultra-thin strip production technology production line Hay:A02RZGT
High-temperature superconductor material copperate has a mysterious insulation phenomenon
Columbia Engineering invents polymer coating to cool buildings
China breaks through ultra-fine “cold working” technology of aero engine blades
U.S. Launcher company dedicated to 3D printed copper alloy E-1 rocket engine
Evonik names EMCO-INORTECH ULC as polyurethane case distributor for Canadian market
3D printed aluminum-based materials comparable to titanium are expected to be used in the aviation industry
3D printed titanium alloy orthopedic implants selected into “100 major scientific and technological innovation achievements of China Science and Technology Innovation Center”
Perstorp expands production capacity of non-phthalate polyester plasticizer Pevalen
Aerojet Rocketdyne’s new hypersonic engine successfully passed testing, 3D printing is the key technology among them
Lubrizol Introduces CarbosetCR-3100 Acrylic Copolymer Emulsion for Low VOC Coatings Directly to Metals
Chinese LCD glass substrate, LCD panel, cover glass, photovoltaic glass manufacturers will build 6 G7.5 cover glass production lines Hay:B04CHJT
Lufthansa Technik establishes additive manufacturing center to develop aircraft components
Chinese material genetic engineering is expected to enter the world and run or lead in 2025
U.S. develops high-performance alloy fuel cell catalyst, maintains good activity after 30,000 voltage cycles
Acoustics, optics, microelectronics leader invests 1 billion yuan in new projects
W. L. Gore & Associates launches GORE® PolyVent XS: a snap-on waterproof, dust-proof and breathable product
Covestro is considering expanding polycarbonate business
The world’s highest graphene anticorrosive material for power transmission towers: salt fog life exceeds 9000 hours, protection life increased by approximately 3 times
Singapore’s biomaterials:Biopolymer materials, biodegradable bioplastics company RWDC Industries wins favor from multinational investment agencies
Covestro wins MATERIALICA Design Technology Awards 2018 with bio-based coating curing agent for lightfast polyurethane coatings
Lumileds, the world’s leading manufacturer of LED lighting materials, and Legrand accelerate the launch of adjustable white LED lighting products
BASF and Norilsk Nickel join forces to supply battery materials
BASF has successfully developed new PPA materials with higher strength and stiffness, strong moisture resistance and corrosion resistance.
SEBF / SLF high performance coating plus sacrificial anode “combined protection technology to protect super project: Hong Kong-Zhuhai-Macao Bridge
Solvay opens new hydrogen peroxide plant in Saudi Arabia to meet global demand for polyurethane foam
Global leading aluminum alloy plate supplier of Boeing and Rolls Royce Hay:A03SDNS
Scientists unveil mystery of black widow spider making high-strength steel fiber
GE Medical High-end Magnetic Resonance Production Line Settles in Tianjin
OmniVision launches new image sensors for industrial and IoT
Clariant will debut at formnext 2018, focusing on industrial 3D printing supplies
Chinese special rubber and plastic manufacturer has developed food-grade runway materials: thermoplastic elastomer materials, thermoplastic polyurethane particles
Facebook confirms it is developing AR glasses
Advantages of photoelectric level switches in liquid level monitoring of lead-acid batteries
CollPlant and United Therapeutics sign license agreement for bioprinted lungs
SiC and GaN power semiconductor market to exceed $ 10 billion by 2027
German company Cevotec develops continuous process monitoring and finite element simulation technology for Fiber Patch Placement
New metal 3D printing technology allows laser devices to print metal structures drop by drop
Australian Titanium Dioxide Enters China: DKSH Signs TNG Pickershan Vanadium Titanium Project
Lubrizol to Exhibit Integrated Technology Solutions for Highly Flexible Electronics at IDTechEx 2018
Chinese optical fiber and cable material manufacturer and Dow Chemical establish joint innovation center for fiber optic cable materials
NASA and Nanocomp Technologies under Huntsman Group Continue to Develop Carbon Nanotube Composite Materials
Honda Motor Co Ltd’s CR-V mid-size SUV uses more high-strength steel plates: cold-rolled materials at 980MPa grade, hot-rolled materials at 1.5GPa grade, and 780MPa materials
China’s titanium dioxide, titanium alloy material manufacturer with an annual output of 100,000 tons of gold ultra-fine rutile high-purity titanium dioxide particulates project entered the trial production Hay:B08ZHTY
New decorative material foam aluminum from CYMat of Canada
One-dimensional open titanium dioxide nanotubes for fast and long-life sodium ion batteries
Chinese ultra-fine powder manufacturer invests 300,000 tons of nano-scale calcium carbonate ultra-fine powder Hay:B06SHHM
DuPont Artistri® Digital Textile Ink Certified
DSM launches “Skins® by DSM” tactile ink resin series for the Chinese market, helping packaging and printing designers create unique tactile experiences
Arkema subsidiary Bostik acquires Afinitica, a Spanish company specializing in instant adhesives (also known as cyanoacrylates) to expand its engineering adhesives business
China Leading Manufacturer of DC photovoltaic cable, AC photovoltaic cable, computer and instrument photovoltaic cable, special cable manufacturer Hay:F04SHJY
Chinese battery grade lithium carbonate, industrial grade lithium carbonate manufacturer with an annual output of 20,000 tons of battery grade lithium carbonate starts in Sichuan
Chinese photovoltaic solar power module manufacturer supplies 17 MW DUOMAX M PLUS double glass single crystal PERC module to France’s first and Europe’s largest floating photovoltaic power plant
Mitsubishi Chemical and Thai PTT jointly develop new decomposable plastic material
China Automotive Interiors Manufacturer and Supplier:Instrument Panels,Center Consoles
Big Data on Chinese basalt fiber materials
Axalta opens the world’s largest coatings R & D center
Goodyear Dalian Rice Hull Gray Carbon Black, Rice Hull Gray Silica Plant Expands Production
Electrification drives demand for aluminum, and automotive high-end lightweight aluminum supplier Novelis Inc. invests $ 10 million to build customer center
280-seat long-range wide-body passenger aircraft CR929 demonstration prototype unveiled, carbon fiber composite material usage is expected to exceed 50%
British supercar company Briggs develops graphene-reinforced carbon fiber composite applications
GKN Aerospace, COMAC and AVIC establish metal / composite aerospace structural joint venture
OLED lighting panel leader OLEDWorks announced the launch of Wave, the world’s first commercial flexible glass OLED lighting platform
A total investment of 200 million yuan, China’s Chongqing ceramic membrane project started
Stratasys layered powder metallurgy LPM metal 3D printing technology,
BMW Group Additive Manufacturing Centre) 3D printed parts have reached one million. The latest product, the window guide, has been put into mass production for the BMW i8 Roadster
Non-woven electrode material will be widely used in wearable field
Graphyne can be used as a host material in perovskite batteries
Infineon’s GaN solution CoolGaN ™ 600 V enhanced HEMT and GaN driver IC (GaN EiceDRIVER ™ IC) for mass production
Researchers combine graphene foam and epoxy to make a tough, conductive composite
China High Performance Polyester and Polyamide 66 Industrial Yarn Preparation Technology Project Mid-term Evaluation Meeting Held
Global Leader in Engineering Materials and Optoelectronics II-VI Corporation and Global Technology Leader in Optical Communications Finisar Corporation Announces Final Agreement
Chinese electric vehicle manufacturers and special steel manufacturers cooperate to develop new energy vehicles with high-strength and lightweight special metal materials
U.S. Super material/metamaterials leader Kymeta seeks new round of financing
China’s TiO2 manufacturer invested in an annual output of 30,000 tons of titanium alloy new materials and distributed energy projects officially started Hay:A01HNLM
Important research progress in China’s high-performance flexible composite thermoelectric materials
The world’s first widest 1600mm nanometer silver transparent conductive film production line has been officially put into operation Hay:H02SZNF
China precision copper strip, copper conductor material, copper alloy wire, precision special steel manufacturer acquires carbon fiber composite material manufacturer Hay:A03AHCJ
China’s high-purity ultra-fine magnesium oxide and magnesium hydroxide manufacturers’ high-purity magnesium oxide and ultra-fine magnesium hydroxide projects ignite trial production Hay:B08QHAL
Chinese and American scientists collaborate to develop new graphene conductive composites, high strength becomes a highlight
Adidas 3D printed shoes AlphaEDGE 4D launched worldwide
Generation Growth Capital acquires 3rd Dimension Industrial 3D Printing
Covestro introduces next-generation TPU film for passport hinges at TRUSTECH
Germany’s Beck Automation installs a fixed energy storage system for the BMW Group using the BMW i3 battery pack
China’s special metal materials manufacturer establishes powder metallurgy research institute Hay:A05JSTG
Estee Lauder uses 3D printing technology to successfully solve many engineering problems and applies this technology to the production of its brands such as MAC, Clinique, Jo Malone and Too Faced
Japan’s Sumitomo to build smelter in Indonesia to increase production of lithium-ion battery anode materials
Application value of PtAu alloy composites
China manufacturer and supplier of Functional materials for solar nano energy storage and temperature regulation Hay:K06GZZY
U.S. National Renewable Energy Lab tries to produce carbon fiber from corn stover
WSTS-World Semiconductor Trade Statistics: Global semiconductor scale to reach $ 478 billion in 2018
Shanshan Energy intends to raise 2 billion yuan to invest in 100,000 tons of cathode materials
Fraunhofer ISE sets new record for solar cell efficiency
RMX Technologies’ new technology can significantly reduce the time and energy required to produce carbon fibers
Lithoz high-precision ceramic 3D printing for aerospace and industrial-grade gas turbine blade casting cores
SK Innovation takes the lead in the Chinese battery recycling market. It is estimated that the market value of used batteries recycling will be 6.5 billion yuan in 2020.
Zhongke Electric subscribes for a lithium battery anode graphitization enterprise stock
Kansai Paint plans to develop lithium-ion battery material technology
UK ADS Group develops carbon nanotube-based aircraft deicing technology
DSM launches Discovery® environmentally friendly coating solution for the Chinese market: water-based plant-based high-performance coating resin
Qualcomm officially responds: will not repurchase NXP, focus on 5G technology
Covestro becomes major shareholder of Japan’s DIC Covestro polymer company, expanding polyurethane capacity by 25%
Huike Lithium Battery Copper Foil Copper Rod Project Put into Production
Markets and Markets report: Global superconducting wire market size to exceed $ 1 billion by 2021
PPG Introduces New Innovative Technology for Electronic Material Coatings, Transparent Functional Coatings for Display Glass and Plastic Substrates
Hyundai Steel, HSC hydrogen fuel cell metal bipolar plates to be mass-produced in 2019
Audi composite adhesive BETAFORCE 9050M and nylon resin Zytel HTNLTFR52G30NH BL662 produced by DuPont Transportation & Advanced Polymers were named the 55th annual R & D 100 award
Chinese lithium battery system manufacturer’s battery energy storage system wins 2018 champion of energy storage testing at the Technical University of Berlin
New high-strength steel material project with an annual output of 5 million tons was officially signed
The OLED display luminescent materials developed by China-made OLED screens broke the international monopoly Hay:I01WHSS
Swedish bioprinting company CELLINK collaborates with organ and tissue engineering company Prellis Biologics to develop a commercial vascular bioprinter Holograph-X Bioprinter
China Leading Forklift main roller bearing manufacturer Hay:U03JSWD
High-quality large-sized silicon carbide (SiC) single crystal substrate materials-some outstanding technical achievements in China’s advanced materials field
China leading Reduced iron powder and water atomized steel powder manufacturer and supplier Hay:A05SDLW
C3Nano Asia production center, the leader of nano silver transparent conductive technology, opens in Changzhou
China Leading Power Lithium Battery Diaphragm separator Manufacturer’s Annual Production of 105 Million Square Meters of Wet-Powered Lithium Battery Diaphragm Projects Have Fully Put into Production Hay:N02CZMZ
China nickel, copper, cobalt, platinum group precious metal and non-ferrous metal rolled product manufacturer trial production of 10,000 tons of Nickel tetracarbonyl Hay:A05GSJC
Global leader in flexible technology industry Royole Corporation and Airbus China Innovation Centre (ACIC) strategic cooperation, the two sides will conduct research on the application of flexible electronic technology in aircraft cabin and commercial coo
Volkswagen teamed up with Hewlett-Packard to mass produce 3D printed automotive parts using adhesive spray technology
Austrian Lithoz company’s high-precision ceramic 3D printing technology is applied to 3D molding of “lunar soil”
Top 10 new medical device materials in 2018, BASF, Dow, Covestro, Solvay, SABIC help health
Hexaflex develops breathable protective clothing with lightweight cushioning made of Kraiburg TPE® thermoplastic elastomer compound
China leading mesophase pitch-based carbon fiber and composites manufacturer and supplier Hay:D01LNNK
China leading Superfine electronic yarn, electronic grade fiberglass cloth, electronic grade fiberglass manufacturer and supplier Hay:D01HNGY
China’s first Lyocell fiber production line succeeds D01ZGTY
Dow DuPont introduces glass and carbon fiber reinforced 3D printing materials
China’s high performance special effect pigments, titanium dioxide manufacturers invest 1.8 billion yuan to build 100,000 tons of cosmetic-grade, automotive-grade titanium dioxide projects Hay:B08FJKC
Owens Corning, manufacturer of insulation, roofing and fiberglass composites, to produce coated nonwovens in the U.S.
Chinese MO source, electronic special gas, ALD / CVD precursor material and photoresist manufacturer invest in MO source and high-K trimethyl aluminum project
China’s special glass fiber manufacturer ignites 60,000 tons of electronic-grade glass fiber production line Hay:D01JSJT
Prospects of gallium oxide materials as ultra-wide bandgap semiconductors
AdditiveNow, formed by metal 3D printer maker Aurora Labs and giant engineering and mining services group Worley Parsons, will target major infrastructure customers in oil and gas and mining
Covestro invests more than 100 million euros in new PC film / polycarbonate film capacity in Thailand
Daimler and BMW consider joining forces in areas such as batteries and key components
Top 20 new materials with potential in 2019: wood sponges
20 new materials with super potential in 2019: programmable cement
Top 20 new materials with super potential in 2019: molecular super glue
China Leading Manufacturer and Supplier for Carbon /carbon composite materials and special graphite products, knitted fabrics and prepregs, fiber composite materials and products, sealing and damping products, powder metallurgy products Hay:D10HTCZ
Chinese special aluminum alloy manufacturer develops ultra-high-strength aluminum alloy
New high-speed technologies can quickly discover advanced nanomaterials, or will bring lightweight armor, synthetic fuels and new high-efficiency solar cells.
Mazda Miata Drop-Head Coupe Concept with Carbon Fiber Hard Top
Chinese e-paper display manufacturers lead the development of international standards for e-paper
Chinese high-performance ceramic-based circuit board maker achieves mass production with new project
Chinese lithium battery smart equipment, photovoltaic smart equipment, fuel cell smart equipment manufacturers and Tesla signed about 43 million yuan lithium battery equipment contract
Guangdong-Hong Kong-Macao Greater Bay Area Metal New Materials Industry Alliance was established
German GEFERTEC fuse metal 3D printer uses metal wire to realize the manufacture of large metal parts.
The largest size titanium clad steel composite panels supplier Hay:D04SXTC
MARKETS ANDMARKETS: ceramic 3D printing technology explained
China Leading Manufacturer and Supplier for Aluminum-steel composite material steel-aluminum composite material fin heat pipe for air cooling system of power station Hay:D08WXYB
LANXESS subsidiary Bond Laminates GmbH is increasing production capacity of Tepex brand continuous fiber reinforced thermoplastic composites
Chinese high-performance glass fiber manufacturer’s 80,000-ton fluorine-free and boron-free glass fiber project successfully put into operation Hay:D01SDBX
China’s electronic-grade fiberglass cloth manufacturer’s annual production of 30,000 tons of fully electronic yarn pond kiln drawing project successfully put into operation
China Manufacturer and Supplier for Stainless steel powder, soft magnetic material powder,Reduced iron powder, water atomized steel powder and non-segregated metal mixed powder Hay:A05SDLW
Ningbo plans to build a magnetic material (rare-earth permanent magnet neodymium iron boron permanent magnet) measurement and testing center that has passed national inspection
China’s breathable film special functional pore aid and breathable film masterbatch non-metal new material manufacturer introduces German HOSOKAWA Alpine functional powder masterbatch production line
China Leading Manufacturer and Supplier for Photoinitiator, monomer, oligomer, UV absorber
China’s zirconium oxychloride and other zirconium salt producers’ green technology upgrade
High-performance aluminum alloy overhead conductor materials: heat-resistant high-conductivity Al-Zr-Y conductor materials, high-strength and fatigue-resistant Al-Mg-Si conductors won the 2018 National Science and Technology Award
The special high-performance superalloy material for aero-engine developed by China won the second prize of national technology invention
CES 2019: Lenovo shows the world’s first 3D glass-screen smart laptop YOGA S940
High whiteness aluminium hydroxide and alumina manufacturer and supplier export over 90,000 tons per year Hay:B08ZLZZ
BASF launches FDM metal 3D printing material, costs 10 times lower than SLM
The production line of China’s leading Nano flexible transparent conductive film manufacturer is put into operation Hay:F04BJZC
Global Standards Organization ASTM International collaborates with Innovate UK, BSI (British Standards Institution) and Manufacturing Technology Centre (MTC) to develop international standards for directed energy deposition (DED) additive manufacturing
China Manufacturer and Supplier of gold nanorods, nano platinum alloys, nano titanium dioxide, nano platinum, graphene, Hay:H02ZSAK
SHMFF users have made important progress in superconductivity research of niobium-titanium alloys under strong magnetic fields and ultra-high pressures
China’s high-purity nano-silicon nitride powder project won the bronze prize in the entrepreneurial group of the 2018 world new material innovation and entrepreneurship competition
R & D of lightweight aluminum for Korean cars accelerates, mainly involving car bodies, engines and wheels
China’s first float 8.5-generation TFT-LCD glass substrate production line ignites production
China’s first coaxial wire-feed laser metal 3D printer Developed successfully Hay:H01SHCY
Refined calcite powder, barite powder, heavy calcium carbonate, ultra-fine calcium carbonate manufacturer with an annual output of 1.5 million tons of non-metal powder new material deep processing production line is completed!
TPI Composites Inc, the largest independent manufacturer of composite blades in the United States, signs a multi-year supply agreement with Vestas
Evonik acquires Austin Structural Polymers, a manufacturer of polymer powders for 3D printing
The highly automated steel plate production line of General Dynamics’ National Steel and Shipbuilding Company (NASSCO) is officially put into use
Georgia Institute of Technology Kumar team successfully prepared nano-microcrystalline cellulose (CNC) carbon fiber / PAN / CNC-based carbon fiber with a mass fraction of more than 40%
Bio-based basalt fiber composite bridge built in the Netherlands
EU approves BASF’s acquisition of Solvay’s polyamide business
Samsung Galaxy S10 battery uses graphene technology to support 22.5W power fast charging
Willis Towers Watson韦莱韬悦全球性管理咨询公司
G100 Network全球优秀高管CEO聚集交流、学习平台
Polyurethane (TPU) film maker invests NT $ 2.7 billion, new TPU film factory breaks ground
Metal 3D printer developed by American 3D printing technology company ADDiTEC can print metal wire and powder
U.S. 3D printing company Essentium secures $ 22.2 million in Series A funding
Global leading micro-nano 3D printing manufacturer:German micro-nano 3D printer manufacturer Nanoscribe GmbH, Swiss micro-nano 3D printer developed by Cytosurge AG “FluidFM μ3Dprinter, ultra-high resolution micro 3D printer manufacturer Microlight3D
Nanotechnology makes special aluminum alloys weldable, can be used in areas such as automobile manufacturing, makes parts light and strong
法国施耐德Schneider电气企业文化与价值观的员工价值体现
Manufacturers of CMP polishing pads and cleaning solutions, polyimide (PI) pastes for flexible OLEDs, and colored polymer toners have built the only wafer CMP polishing pad production and research base in China
Formlabs to launch elastic resin at TCT: a soft and highly elastic 3D printing material
Belgian sandwich material manufacturer EconCore introduces innovative continuous high-performance thermoplastic honeycomb core production technology
China makes breakthrough progress in high-strength plastic ultra-fine grain eutectic high-entropy alloys
Hyosung Advanced Materials expands carbon fiber plant to double capacity to 4,000 tons
China’s precision copper foil company’s monthly output of 6 micron high tensile Ultra-thin copper foil broke 100 tons for the first time Hay:A03TGTB
Royal Philips Philips Healthcare Business in China
Evonik launches new high-temperature PA6 3D printing powder
Titanium and titanium alloy rods, blocks, cakes, rings, tubes, plates, wires, shaped forgings, standard parts and rare metal products such as tungsten, molybdenum, zirconium, niobium, nickel, tantalum, hafnium manufacturer and supplier Hay:A04BJFS
Driverless basalt fiber electric bus tested in Russia
Virtual Foundry has recently obtained a patent for the use of metal composite wires to produce pure metal 3D prints using ordinary FDM 3D printers
WACKER presents new polymer binder series VINNECO based on renewable raw materials at European Coatings Show 2019
Evonik, a global leader in polyamide 12 powder (PA 12) production, introduces new polymer powders for higher temperature 3D printing applications
U.S. carbon fiber, desktop metal 3D printer Markforged maker debuts at TCT Asia
Third-generation semiconductor silicon carbide (SiC) wafers/SiC crystal manufacturer and supplier Hay:I04BJTK
Magnesium alloy wheel manufacturer invests in new magnesium alloy wheel production line
Chinese carbon fiber and composite materials manufacturers participate in the French JEC Composites Exhibition
China’s newly researched 200-ton thrust solid rocket engine successfully commissioned. High-performance fiber-wound composite shell has greater strength and lighter weight.
German FFF 3D printer maker RepRap partners with U.S. chemical company DuPont to launch two new fiber-reinforced wires
NGK, TOTO, Nippon Koga Electric, and Noritake Co. Limited announced that it will jointly fund a joint venture on December 1 to advance mass production of solid oxide fuel cells
High-performance basalt fiber composites (BFRP) will have broader application prospects in transportation infrastructure and automotive and shipbuilding industries
China’s super-large carbon steel forging manufacturer successfully developed the world’s largest Seamless Ring Rolling Forgings components and parts Hay:T03SDYL
Research progress on 4.6V high-voltage lithium cobaltate/LiCoO2 lithium-ion battery cathode materials in China
Huneed Technologies, a global aviation component manufacturer such as Boeing and Airbus, establishes 3D printing research center for aviation parts
Korea successfully develops high-strength and formability pure titanium sheet rolling technology
FoamPartner, a Swiss polyurethane foam specialist, is collaborating with Swiss Rinspeed. The microSNAP concept car developed by Rinspeed demonstrates the potential of innovative foam technology in automotive interiors, sound insulation and insulation
Fuji Economics: PAN-based carbon fiber composites (thermoplastic and thermosetting carbon fiber reinforced plastics), global market size to 580 billion yen in 2030
Grand View Research: By 2025, the global glass fiber fabric market size may reach $ 14.93 billion
Russian scholars invent a simple and cheap method to obtain cubic boron nitride nanoparticles to produce composite cermet materials
Chinese special steel manufacturer uses high-purity stainless steel materials to create the world’s largest diameter and heaviest weldless solid stainless steel ring forgings in the world Hay:T03TGJT
New nanomaterial graphene has great application prospects in the fields of energy storage and composite materials
China’s solar photovoltaic glass, ultra-white photovoltaic glass, AR coated glass plans to invest 1.75 billion yuan to expand photovoltaic module cover glass projects Hay:B04ZJFL
Chinese high-end carbon fiber manufacturer realizes the application of carbon fiber in the field of hydrogen fueled cars, which reduces weight by more than 50% compared with traditional cars
High-strength composite solar unmanned aerial vehicle achieves phase results in the construction of aerial LAN
Two Chinese high-precision metal masking (FMM) manufacturers have achieved commercial production and achieved breakthroughs in core components of the OLED industry
Owens Corning’s Insulating Materials Business Revenue Increases 36%, Realizing $ 7.1 Billion in 2018
Renishaw and Sandvik jointly advance the future of metal additive manufacturing technology
Chinese silicon carbide single crystal growth equipment manufacturer and German company officially released large-size resistance silicon carbide single crystal growth equipment and process
“Metamaterials” has a new breakthrough! 4D printed smart materials can change their properties and shapes with temperature
Arkema opens new PEKK plant in the U.S. for carbon fiber reinforced parts for next-generation aircraft
Leading High-purity tungsten hexafluoride WF6, ultra-high-purity tungsten target, high-purity tungsten parts, tungsten heating body, high-purity tungsten crucible manufacturer and supplier Hay:A01CPZG
Increase global layout of wind power carbon fiber composites business, Chinese carbon fiber manufacturer plans to invest in carbon beam production project in Mexico Hay:D02WHGW
Rogers Introduces New Waterproof Flexible Heater Substrate
Ultra-flexible boron nitride nanobelt aerogel capable of maintaining liquid nitrogen at 1000 ° C
Discussion on Preparation Process of Flaky Alumina α-Al2O3
China’s high-purity molybdenum material manufacturer developed China’s first G6 generation high-end molybdenum target for OLED Hay:A04SXJD
Ultra-high-power graphite electrode project with an annual output of 100,000 tons started, with a total investment of 2.7 billion RMB Hay:B06LZBF
Nikkiso delivers Airbus A320neo carbon fiber composite engine compartment components for the first time
Japan uses microwave plasma CVD (chemical vapor deposition) method for the first time to produce crack-free single crystal diamond with a volume of 1 cubic centimeter
ASM Pacific Technology, a manufacturer of etched and stamped leadframe semiconductor packaging materials, invests $ 300 million to build new plant
Lubrizol Expands Pearlbond’s Toluene-Free Thermoplastic Polyurethane TPU Product Portfolio for Rubber Powders, Films, and Meshes
Grand View Research Inc. predicts that by 2024, the global composites market size is expected to reach $ 130.8 billion
The first advanced ceramic material to achieve transparency, Preparation and Research of Transparent Alumina Ceramics
Irish company Arralis launches new gallium nitride-silicon carbide high-power amplifier products for satellite communications
Only carbon fiber composites have the potential to improve equipment performance by 20-25%
Global Leading Carbon fiber 3D printing companies: Stratasys, OXFAB, EnvisionTEC …
ITO conductive film: conductive film, energy-saving film, high-resistance diaphragm and high-precision aluminum foil manufacturer and supplier Hay:F04STWS
Silicon Valley company AREVO 3D printed all-in-one carbon fiber bicycle frame made of composite direct digital additive manufacturing
Dow signs PCG cooperation agreement with PCG subsidiary PETRONAS Chemicals Glycols
The University of California, Irvine (UCI) research team has created a nano-scale carbon lattice whose intensity density ratio exceeds that of diamond
GE Aviation has expanded to 35 electron beam melting (EBM) metal 3D printing equipment and is expected to produce approximately 60,000 blades there from 2022 to 2023.
Performance comparison between carbon fiber composites and traditional metals
ContiTech Vibration Control, a leading rubber technology company, develops innovative products and systems for automotive vibration control and noise reduction
Established 2 R & D centers for titanium alloy materials for aviation and gas turbines in Chongqing, China
Micro-prism-type reflective materials can be divided into super-strong triangular prism type and diamond-level full prism type
Hot Repair Mixes for Tap Hole and Bottom, Gunning Mixes, Dry Ramming Mixes for EAF Bottom, EAF Tap Hole Filling Mass, EAF Tap-Hole Blocks, EAF Delta & Refining Furnace Roof, MgO-C Brick for EAF, Purging Plug for EAF leading manufacturer and supplier Hay:
Turkish aerospace industry company TAI plans to build the world’s fourth largest composites plant for the production of aerospace composite parts
Basalt fiber production technology provider Lipex Engineering delivers basalt fiber nonwoven production line to China
Huntsman invests 247 million yuan to set up a 28,000-ton thermoplastic polyurethane rubber project in Shanghai Park
The development of electric vehicles will greatly promote the development of aluminum alloy materials by 2030 or reach 10 million tons per year
China’s leading building waterproof material manufacturing and WACKER Chemical establish joint laboratory project to strengthen strategic cooperation in waterproof coatings Hay:K02BJDF
Carbon Fiber Composites,vinyl ester for anti-corrosion tank and pipe for factories, desulfurization tank, and chimney for power plants;the other one is epoxy resin and hardener for wind turbine blade leading manufacturer and supplier Hay:D02SHSW
Rockwood Composites and HAECO jointly develop a new commercial aircraft composite seat called Eclipse
Daimler acquires minority stake in U.S. battery materials specialist Sila Nanotechnologies
Cambridge Industries USA Inc. acquires Oclaro Japan, former Hitachi fiber optic unit
The world’s second production line with a width of over 2500mm, the foundation stone of China’s ultra-large TV polarizer project is laid Hay:I01SZSB
BMW and Chinese automakers jointly build a production center for key components such as engines and transmissions, and a carbon fiber lightweight industrial center
Covestro wins award for its PUReWall polyurethane insulation board
Conductive CNT wires and carbon nanotube CNT sensors open up potential for new composite functions
Merck introduces OLED inkjet printing technology for foldable smartphones
Basalt fiber composite buoy, main hull made of basalt fiber fabric, basalt fiber photovoltaic bracket, deep-sea culture cage frame, basalt fiber composite bar, basalt fiber submersible pump tank manufacturer and supplier Hay:D02SXPY
Manufacturers of precision metal stamping parts, precision metal deep drawing parts, and precision stamping molds invested 30 million US dollars to build new precision stamping parts production bases Hay:T01HZWY
Accelerating research on ceramic matrix composites, Reaction Engines Ltd. joins UK National Composites Centre
Chinese lithium battery material manufacturer Y cooperates with AMAL to reduce the cost of spodumene raw materials
Titanium and titanium alloy powders, superalloy powders, cobalt-based alloy powders, aluminum-based alloy powders, and stainless steel alloy powders manufacturer will supply to Volkswagen Hay:H01HNDL
British luxury yacht maker Sunseeker selects Hexcel’s HiMax Dot Pattern Adhesive (DPA) carbon fiber non-crimp fabric to create new performance cruiser
High temperature resistant plastic material: PEEK engineering plastic
High purity quartz has unique optical, mechanical and thermal properties, and is widely used in high-end industrial fields
Checkerspot, a biotechnology startup that uses microalgae to produce performance materials, today announced that it has raised $ 13 million for the production of biotechnology performance materials
World’s Largest Monolithic Fiberglass Hull, Third New Ship Mine Sweeper Coming Soon
WINDGO applies nanoparticle coatings and multilayer materials to sensors designed to reduce the impact of vehicle collisions
German Sto coating enters China, develops energy-saving and environmentally friendly building materials, external wall external insulation system, internal and external walls, sound-absorbing materials
SGL and NIO cooperate to develop carbon fiber reinforced plastic battery housings/case for electric vehicles
Manufacturers of optical PET films (polyester films) and TAC films (triacetyl cellulose films) predict:EMI film and conductive adhesive film are two billion Chinese market size Hay:F06ZGLK
Chinese lithium battery material manufacturer invests 1.58 billion yuan in high nickel cathode material project
“Development and industrial application of high-strength aluminum alloy engine connecting rods” project started, striving to solve the problem of high strength and light weight Hay:T03YLCX
Global semiconductor material leader Cree will invest 1 billion U.S. dollars to expand SiC silicon carbide production capacity, which will accelerate the industrial transformation from Si silicon to SiC silicon carbide to meet the needs of EV electric veh
Clariant showcases Exolit® OP flame retardant, AddWorks® polymer stability solution and Licocare® bio-based wax for efficient lubrication and dispersion at ChinaPlas 2019
Dow chemical Introduces New Flexible DOWSIL ™ EC-6601 Silicone Conductive Adhesive to Meet Electromagnetic Shielding Challenges
China’s glass fiber composite material leader realizes sales of 845 thousand tons of glass fiber and products Hay:D01TSBX
China graphene battery materials and graphene powder materials: graphene-coated metal nanoparticles, graphene composite lithium ion power battery positive (negative) pole materials, graphene-coated alumina battery separators manufacturer Hay:H02SZBZ
Preparation of spherical gallium nanoparticles with femtosecond laser ablation
Alcoa’s spin-off of Arconic to play metal 3D printing
China Yunnan Copper Group and Japan Furukawa Electric Sign Equity Transfer Agreement
Covestro Develops New Polycarbonate Blend New Plastics Can Reduce Production Time / Protect Battery Safety of Electric Vehicle Batteries
Chinese Academy of Sciences Space Application Center 3D printed composite materials research achieved significant scientific results
Chinese vanadium and titanium manufacturers build national-level vanadium and titanium new materials R & D center Hay:A04PGJT
Delivery of the world’s longest polyurethane wind blade developed by a Chinese carbon fiber manufacturer
Novelis, a high-end aluminum rolled product manufacturer, develops aluminum plate battery enclosures for electric vehicles, suitable for all types of batteries
Negative Poisson’s ratio (NPR) new rare-earth steel materials: China’s first production line of NPR new materials put into operation
Tesla acquires battery maker Maxwell to deploy power batteries
CHINAPLAS 2019: Ultra-thin film made from BASF Ultrason high-performance materials
ExxonMobil Expands Production of Santoprene Thermoplastic Elastomers, Increases Global Capacity by 25%
CHINAPLAS 2019: Taiwan ’s first shoe adhesive manufacturer GRECO showcases ISOTHANE non-yellowing aliphatic TPU resin
Plessey Introduces Silicon-based GaN High List Chip Micro LED Display Technology
CHINAPLAS 2019:Manufacturers of graphene composites and thermoplastic composites demonstrate flame-retardant PA6-based continuous fiber multilayer composite boards for new energy automobile structural support module materials Hay:C03ZHJE
Academician Hou Baorong, Institute of Oceanology, Chinese Academy of Sciences won the Science and Technology Achievement Award issued by NACE International, focusing on marine corrosion protection technology research and engineering promotion
Lubrizol Engineering Polymers’ thermoplastic polyurethane (TPU) materials are widely used in high-performance shoes
CHINAPLAS 2019:Arburg to showcase the digital future of the plastics processing industry
Research on carbon fiber graphitization process technology: Pointing the way for high modulus carbon fiber preparation technology research
Aluminum alloy precision die-casting parts manufacturer invests 300 million to build aluminum alloy precision parts production base Hay:T02FJWD
China’s new six-roller reversible cold rolling unit has successfully performed hot tests and is used to process high-end wiper support frames, high-performance leaf springs and other precision steel strip metal materials
Glencore will restart First Cobalt Cobalt Refinery with output of 2000-2500 tons
SABIC launches Udmax thermoplastic composite/unidirectional continuous fiber reinforced thermoplastic composite tape at JEC World, Innovating the production process of automotive panels
Ministry of Commerce: China has no other trade management measures except for export licenses for rare earths
Application progress of graphene conductive materials in transparent electrodes
WACKER Chem: On-demand inkjet silicone 3D printing technology, a new weapon for medical innovation
China silver alloy/Silver graphite electrical contact materials, metal composite contacts manufacturer invest in hydrogen energy materials and hydrogen alloy catalysts Hay:F04FDHJ
LANXESS introduces cheap alternatives to polyamide 66: halogen-free flame-retardant polyamide 6 and PBT
China Construction Hydrogen Automotive Engineering Research Institute will conduct research on the application of magnesium alloys in the field of hydrogen vehicles
Ultra-high-purity chemical rectification technology helps Chinese enterprises to produce a variety of ultra-high-purity materials such as high-purity silicon materials (purity up to 10N), polyvinyl chloride (99.999% purity), refined vinyl acetate (99.995%
New aluminum / magnesium alloy composite extrusion casting process developed by China shortens production cycle by more than 1/3 and reduces “three wastes” by 30%
Electronic grade high conductivity graphene powder, graphene lithium ion battery, graphene reinstalled anti-corrosion coating, graphene Rfid label leading manufacturer and supplier Hay:H02WHDW
Chinese leading bismuth telluride ingot manufacturer builds new production line Hay:B06LYDJ
Chinese company successfully use carbon fiber composites to reinforce and repair pipelines with 50% thinner defects
GLENCORE Signs Cobalt Hydroxide Supply Agreement with Umicore
Toray Corporation’s special large tow carbon fiber subsidiary: Zoltek’s newly developed patented product PX35 KS carbon fiber has achieved commercial production
The United States has developed a transparent Thiol-yne nanocomposite polymer material that can be used for flexible display and anti-fracture.
Chinese magnesium alloy, aluminum-titanium alloy, aluminum-titanium-boron, aluminum-strontium alloy, and porous microchannel flat tube manufacturer invest in ferrosilicon alloy projects Hay:A03NJYH
Pre-Switch launches 200kW silicon carbide automotive power converter evaluation system to reduce switching losses and improve EV life
Global leading Stainless Steel and Copper (SUS/Cu/SUS) Clad Metals, Copper-to-aluminum Clad Strips and Coils, Wear Resistance Silver-Copper-Nickel Alloy Highly Conductive Clad Material, ALUMINUM INLAY CLAD, High Strength Aluminum manufacturer Hay:D04USMA
Manufacturers of glass fiber yarns, cloths, chopped fibers for thermoplastics, and glass fiber yarns for thermoplastics cooperated with the COVESTRO R & D team to develop a special yarn for polyurethane wind power blades Hay:D01CQGJ
China’s printed metal grid transparent conductive film made new progress
Japanese special glass and ceramic technology leader AGC develops composite glass manufacturing technology, glass windows can “transform” displays
Swedish company Floby launches SiCA lightweight aluminum brake discs at EuroBrake to improve the sustainability of electric vehicles
China’s high-performance carbon fiber Engenuity company participated in the design of automotive thermoplastic hybrid molded parts-C-pillar reinforcements won the 2019 JEC Innovation Awards
China’s electromagnetic protection material production base starts, providing a comprehensive electromagnetic protection solution for aerospace ships and electronics Hay:F05HTKG
High-performance heat-resistant rare earth magnesium alloy project developed by a Chinese magnesium alloy material manufacturer won the first prize of China’s rare earth science and technology Hay:A03GZFH
LyondellBasell has announced that it has ceased negotiations with Odebrecht S.A. on the acquisition of Braskem.
Henkel’s new Loctite® ABLESTIK ICP 8000 series conductive adhesives are designed for the new generation of GW-level photovoltaic modules
SIAE 2019: Toray showcases next-generation composite technology: T1100 carbon fiber and Cetex thermoplastic composites
Japanese precision sheet metal manufacturer has developed antifouling film coating technology, which is 20 times more durable than the market
Chinese carbon fiber composite materials and aramid fiber manufacturers successfully developed continuous large tow carbon fiber (50K) reinforced modified polyphenylene ether (MPPE) thermoplastic composite materials Hay:D02ZGLX
“Advanced refractory incubator base” jointly established by China Refractories Research Institute and China’s silicon, magnesia, high alumina, magnesia-calcium, oxide and non-oxide amorphous mid-to-high grade series refractories Hay:F03ZGNH
China Special Chemicals Group’s 220,000-ton battery-grade ethylene carbonate and battery-grade ethyl methyl carbonate / diethyl ester electrolyte solvent project started Hay:N03JSSP
Volkswagen lays out European electric vehicle battery industry, 900 million euros participate in Swedish electric vehicle battery manufacturer Northvolt
American aerospace parts maker Parker Aerospace to 3D print fuel atomizing nozzles and dual fuel manifold assemblies for Vericor Power System’s oilfield gas turbines
Japan’s Ministry of Economy, Trade and Industry (METI), European Commission’s Energy Agency (ENER), and the US Department of Energy (DOE) issued a joint statement on future hydrogen and fuel cell technology cooperation
INNOX Advanced Materials (hereinafter INNOX) has broken the situation of Nitto Denko’s exclusive supply of back plate films since last year and entered the SDC supply chain
The RTF copper foil developed by China leading VLP and HVLP/Hyper Very Low Profile copper foil, the thinnest 4.5μm copper foil manufacturer achieved mass production Hay:A03TGTB
Electromagnetic shielding film, ultra-thin flexible copper clad laminate and electronic copper foil manufacturer invests on Electromagnetic shielding film, flexible copper clad board project Hay:F05GZFB
GORE launches battery pack low voltage protection products
Demystifying foundry industry focus and casting trends Clariant LE + technology debuts at GIFA 2019
Teijin Provides Carbon Fiber Reinforced Thermoplastics (CFRTP) for Major Structural Parts of Airbus A350 XWB
Copper alloy solder, CU-AG solder, chrome-zirconium copper plate manufacturer new copper-aluminum new material project put into production Hay:A03AHJY
China Leading Glass Microbeads manufacturer invests in new Glass Microbeads production base Hay:B04HBCY
China’s lithium battery soft-clad aluminum-plastic film manufacturers are saturated in capacity, starting the construction of a new aluminum-plastic film production line Hay:N04SZXL
Chinese scientists prepare “micro-reed bush” structure carbon fiber porous foam, heat dissipation of electronic and electrical equipment is no longer a problem
China leading automotive magnesium alloy precision die-casting parts: dashboard frame, gearbox shell cover, steering column bracket, seat frame, pedal bracket, radiator bracket and car audio shell manufacturer and supplier Hay:A03SHMM
Munich University of Technology develops new carbon fiber lightweight construction material manufacturing process
Chemical and materials giant Arkema invests $ 20 million in Carbon to advance 3D printing materials innovation
Covestro participates in the development of carbon dioxide-based TPU fibers to promote material recycling in the textile industry
K Düsseldorf 2019: Evonik VESTENAMER® helps waste rubber recycling
China Nanofiber Membrane Technology Co., Ltd. develops lithium battery that can be bent repeatedly, providing unlimited possibilities for the development of flexible electronic products Hay:N02NBRC
Evonik unveils RESOMER® wire for 3D printing of bioabsorbable medical implants
Global textile industry and nonwovens cellulose fiber manufacturer Lenzing plans to invest more than 1 billion euros to expand Lyocell fiber production
KraussMaffei brand integration, KraussMaffei, KraussMaffei Berstorff and Netstal belong to KraussMaffei
FXI Chief Technology Officer Philippe Knaub joins CertiPUR-US® Polyurethane Flexible Foam Certification Program
316L stainless steel with silicon nitride can greatly improve metal 3D printing quality
High-power laser diodes can reduce residual stress in 3D printing
China LED chip, cable, intelligent control module manufacturer establishes a joint venture of megawatt-class high-temperature superconducting DC induction heating materials and equipment Hay:F03JXCD
Demand for recycled plastics grows, Austria’s ALPLA to partner with PTT Global Chemical (GC) to build potential recycling plant
China “Industrial Catalogue Encouraging Foreign Investment (2019 Edition)” was released, and glass fiber, basalt fiber and carbon fiber were selected
Covestro’s Platilon series of special breathable thermoplastic polyurethane (TPU) films used in Holst Centre to jointly develop skin-friendly wearable devices
BorgWarner R2S® takes turbocharging to higher fuel efficiency levels
Battery life is about 725 kilometers! Lightyear One solar prototype uses carbon fiber
“Aluminium replaces copper” has obvious advantages, triggering a boom in consumer electronics aluminum alloys
ASML abandons EUV Pellicle R&D and transfers technology to Mitsui Chemical
Titomic supplies metal 3D printed parts to Danish mining equipments company FLSmidth
PCB 3D printer company BotFactory receives $ 1 million seed investment
Henkel and RLE International form automotive innovation alliance to jointly develop fiber-reinforced polymer parts with structural foam and reinforcement
Graphene and nano-silver wires help the development of flexible touch screens
Toyota plans to transfer powertrain business to JTEKT
WACKER will unveil 3D printing technology ACEO® Imagine Series K2, which can print 4 different silicone materials simultaneously
FABHELI develops carbon fiber composite marine propeller
Lithium-ion anode materials are put into production with an output of over 400,000 tons, and the process of high-nickel NCM811 / NCA is accelerated
Mercedes-Benz GLE SUV front end adopts LANXESS ‘TEPEX dynalite continuous fiber reinforced thermoplastic composite board, which reduces weight by 30% and has excellent crash performance
Polymer materials leader INEOS Styrolution collaborates with Indaver to advance the development of polystyrene circular economy
BMW M2 Thunder reduces weight with carbon fiber composite parts
China’s high-end carbon fiber composite and Carbon fiber reinforced nylon 66 material manufacturer invests 2 billion yuan in new carbon fiber project Hay:D02WHGW
Mexican auto parts supplier Nemak closes Windsor plant in Canada
Plastic packaging leader ALPLA promises to reduce carbon footprint by 10% by 2022
Glass fiber reinforced plastic (GFRP) leaf springs delivered by SGL Carbon Group for automotive suspension exceed 1 million pieces
As to Colorless Polyimide (CPI) Films ,Samsung Likely to Switch from Japanese Supplier Sumitomo to Local Kolon or SKC CPI (Colorless Polyimide) Films
Leading high-aluminum ultra-thin electronic glass, photovoltaic photovoltaic glass, and LOW-E coated glass manufacturer add advanced high-aluminum silicon cover glass products Hay:B04CZQB
Jaguar Land Rover is collaborating with chemical company BASF, plastic waste is expected to “transform” into high-end automotive materials
High-frequency ultra-thin flexible copper-clad laminate project startedHay:I04JSGC
Axalta Coating Systems offers customized powder coating product line for 5G communications equipment in China
Covestro develops material solutions for 5G communication equipment such as base stations and active antennas
BASF launches Ultrafuse 316L metal-polymer composite wire for open material extrusion (fuse manufacturing or FFF) 3D printers, new materials rely on bonded metal wire systems from companies such as Desktop Metal and Markforged
Evonik’s special silica SPHERILEX® 148 provides dental health protection
Siemens Oil & Gas MindSphere Application Center Lands in China
Faurecia Automotive Seats uses LANXESS’s polyamide-6-based TEPEX Dynalite and short glass fiber-reinforced Durethan BKV30H2.0 polyamide 6 to achieve a 45% weight reduction in the Audi A8 independent rear seats
Japan uses machine learning to discover highly thermally conductive polymer materials
Covestro further develops Maezio (CFRTP) products and capacity for continuous fiber reinforced thermoplastic composites
Continental Powertrain puts “new starting point” in Wuhu, China
Veoneer, a Swedish automotive self-driving car technology supplier, loses $ 137 million in the second quarter
JNC and Kansai University have successfully developed an organic series of blue light-emitting materials (ν-DABNA) with a color purity exceeding the Gallium series LED and Cadmium series quantum dots.
China’s special protective coating technology company developed an ultra-tough protective coating material comparable to LINE-X protective coating Hay:M02HBWH
3D flexible curved fingerprint sensor released, Polyimide Film / PI film successfully replaces silicon substrate
Evonik Unveiled at K Show 2019 in Germany, Launching New High-Performance Polymers and Additives
Expliseat produces the world’s lightest aircraft seat using advanced materials such as carbon fiber and titanium
Covestro partners with China’s largest wind turbine blade manufacturer to create polyurethane resin wind turbine blades, Spar Caps and Shear Webs for wind turbine technology company Envision
Chinese high end lithium battery separator manufacturers have set off a wave of capacity expansion to reduce production costs
NRW-supported AddSteel develops laser powder bed fusion (LPBF) metal 3D printed alloy powder
China New Energy Powertrain, Automatic Gearbox, Wheel Bearing, Automotive Trim, Automotive Electromechanical Product Manufacturer Wins “Brose Best Supplier of the Year”
Paper-based batteries are produced on paper machines, smart packaging is expected to make big strides
Faced with Japan’s semiconductor material export control measures, South Korean semiconductor giants Samsung Electronics and SK Hynix are testing semiconductor components and materials from suppliers in mainland China and Taiwan
Global leading electronic-grade beryllium and beryllium oxide metal matrix composites (MMC) manufacturer and supplier Hay:D04USMA
China leading thermal insulation decorative boards and new materials for fire and thermal insulation manufacturer and supplier expand production capacity of thermal and waterproof materials Hay:K06SHYS
FIBRESHIP showcases hull sections made of fiber reinforced composites
Henkel collaborates in research project on Sympa automotive 3D printing composites
Chinese scientists have won the Outstanding Contribution Award of the European Society of Applied Superconductors for their achievements in iron-based superconducting materials: multi-core iron-based superconducting wires, and low-cost copper-clad iron-ba
How can China’s polycarbonate industry achieve sustainable and healthy development?
SGL to showcase smart solutions and materials for fiber composites at CCE
Covestro and China’s largest manufacturer of glass-reinforced polyurethane door and window profiles tailor-made insulation door and window solutions for the “future building” of the Chinese Academy of Building Sciences Hay:K06ZJDY
Kohlberg completes acquisition of Bemis European Medical Packaging and will merge with Nelipak
Tenneco’s second-quarter profit rises 27% after acquisition of Federal-Mogul
Chinese Top moulded injection vial for antibiotics,tubular glass vial,amber glass bottle,neutral borosilicate glass bottle,cosmetic bottles,food bottles,butyl rubber stopper,prefillable syringes,low-borosilicate ampoules manufacturer Hay:F08SDYB
Spinal implant and tool maker Nexxt Spine recently released news that SLM metal 3D printed NEXXT MATRIXX independent cervical interbody fusion system has been approved by the US FDA
Denso joins MOBI Alliance to develop blockchain technology standards for future travel
Japan’s Toray and Teijin companies to launch new carbon fiber materials, aircraft carbon fiber parts costs may be halved
Chinese fighter aircraft, ship stealth materials, and metamaterials manufacturers have begun to enter the harvest season
ToppanPrinting Co., Ltd together with subsidiary Toppan Photomasks, Inc. and GF Global Foundries reach long-term supply agreement to supply photomasks and related services
EU develops new technology for connecting metals and composites to meet weight-reduction and energy-saving needs in automotive, construction and other fields
Precision grinding steel bars manufacturer Akiyama Seiko has developed a new type of stainless steel silver bright steel bars, which can be used to make fine-diameter parts for precision mechanical parts and medical devices
China leading manufacturer and supplier of corrosion-resistant alloy, titanium alloy, superalloy seamless pipes, bimetal composite pipes, pipe fittings, coatings pipes Hay:A05ZJJL
Coca-Cola bottled water brand DANSANI to launch hybrid rPET bottle for the first time
China’s independent wet double clutch mass production goes offline, breaking the international monopoly situation
SOREMA (a branch of Previero) will show the latest post-consumer plastic recycling technology in Dusseldorf, pre-cleaning production line to improve PET bottle recycling efficiency
South Korea’s Hyosung Group to invest $ 828 million to expand carbon fiber business
Allied Market Research: Global bioplastics market size expected to reach $ 68.57 billion by 2024
China successfully develops world’s largest stainless steel ring-shaped forging without welding
Kraiburg TPE develops a weather-resistant TPE thermoplastic elastomer specifically developed for PP adhesion and excellent UV resistance in automotive applications.
Aptiv launches low-cost smart car architecture
China precision copper tube, copper strip, copper foil leading manufacturer`s U.S. copper tube production base begins construction in September Hay:A03ZJHL
Development status of (Nippon Carbon,Ube Industries and COI ceramics) silicon carbide/SiC fiber and its composite materials industry
Eden Innovations obtains U.S. patent for high-strength plastic carbon nanostructured material process
Teijin and Bombardier renew Airbus A220 carbon fiber supply contract
Clariant launches industrial-grade 3D printing consumables: high impact resistance 10% glass fiber reinforced polylactic acid (PLA) PLA-HI-GF10
SF Motors/TeraWatt Technology launches 4.5Ah solid-state battery design prototype with energy density up to 432Wh / kg
ENGEL, an injection molding equipment manufacturer, achieves mass production of fiber reinforced composites integrated process
Nanjing University Makes Progress in Research of Carbon Nanotube Metal Composites
BASF and Chinese waterproof material manufacturer strategically cooperate to upgrade and jointly develop sustainable waterproofing solutions
STMicroelectronics: 50% of automotive electronics and digital business will reach 50% in next 5 years
BorgWarner to present the latest electrification solutions at the 2019 Frankfurt Motor Show
Arevo to showcase its 3D printed carbon fiber monolithic bike frames and 3D thermoplastic rims at Eurobike 2019
Toyo Tire invests 1.4 billion yuan to expand plant in Malaysia
Dow Chemical partners with FuenixEnter to produce 100% recycled plastic
ZF Friedrichshafen AG 8-speed transmission (8HP) officially launched in China
Huntsman reenters 3D printing supplies market with IROPRINT
New composite thin-film electrode made by Toyohashi University of Technology promises higher capacity for lithium-ion batteries
HRC and Voith Composite sign strategic cooperation memorandum to jointly develop carbon fiber hydrogen fuel storage tank systems
SABIC introduces optical resins for lidar components
Carbon fiber tube, carbon fiber shaft roller, carbon fiber telescopic rod manufacturer developed high rigidity large aspect ratio carbon fiber roller shaft won the China Composites Expo 2019 Innovation Award Hay:D02ZBLD
Schaeffler presents several new products at the Frankfurt International Motor Show 2019
China Classification Society (CCS) helps break through the bottleneck of fire prevention technology for fiber reinforced plastic ships
Schaeffler will set up another wholly-owned company in China to introduce Space Drive remote control technology for intelligent driving
Robert Bosch chooses Chinese power battery giant CATL to cooperate after giving up its own battery
Swedish metal 3D printing company Freemelt receives funding
LANXESS: sustainable products and systems for the leather industry: chemicals prepared before tanning, mineral and synthetic tanning agents, mould inhibitors, retanning agents, leather softeners, dyes and a large number of leather finishing products
Teijin Group’s para-aramid fiber and Tenax carbon fiber composite board for the Stedelijk Museum in Amsterdam
Liquid Metal flexible PCB Manufacturing System manufacturer Signed a strategic cooperation agreement with China Flexible Electronics Research Institute to jointly develop industrial-grade liquid metal flexible PCB Hay: H01BJMM
CAMX, THE COMPOSITES AND ADVANCED MATERIALS EXPO: Chomarat Engineered Textiles will debut with carbon fiber reinforced products such as rocket fairing molds
Brose unveils auto-opening doors, flexible interior systems and thermal management systems for electric vehicles at the Frankfurt International Automobile Fair 2019 (IAA 2019)
Sherwin-Williams Aviation Coatings business unit launches matte high-performance polyurethane topcoat for military aircraft
SAERTEX acquires German carbon fiber fabric manufacturer TK Industries GmbH
China leading manufacturer and supplier of Steel rods for high-strength prestressed concrete, hot-rolled ship steel, hot-rolled track steel for engineering machinery Hay:A02CSTG
German chemical giant BASF collaborates with French companies Eramet and Suez on European electric vehicle waste battery recycling project
Airborne Corporation of the Netherlands launches gantry-type composite printer. The first application is based on its thermosetting prepreg laminate based on its Automated Laminating Cell (ALC) technology
Chinese researchers have developed polymer electrochromic materials to build super power-saving electronic devices
After Daimler and Volkswagen, South Korean battery manufacturer SK Innovation (SKI) will supply batteries for Ferrari’s first mass-produced electric vehicle model
MIT develops new ionic liquid to improve supercapacitor performance or replace supercapacitors with batteries
Chinese magnesium alloy, aluminum-titanium alloy, aluminum-titanium-boron, aluminum-strontium alloy manufacturers build new alloy die-casting and deformation processing projects Hay:A03NJYH
Evonik polyamide PA12 new plant officially breaks ground in Mar, Germany
Dow Introduces DOWSIL High-Performance Curtain Wall Blanket Innovative Product
Lithium-aluminum-silicon glass, ultra-thin glass, curved glass, anti-glare glass, high-aluminum cover glass manufacturers release new drop-resistant glass products Hay:B04SCXH
Hyundai Motor Group and Aptiv form self-driving joint venture
Continental Structural Plastics (CSP), the world’s leading composite automotive component manufacturer, partners with Ford to create a dual-wall crash-resistant composite engine baffle
Manufacturers of magnesium alloy precision die-casting, extrusion, forging, rolled plates, and castings successfully developed magnesium alloy mobile phone frame materials Hay:A03SXYG
China’s high-precision ultra-thin aluminum foil manufacturer invests $ 70 million to introduce Achenbach aluminum foil rolling mill to create a first-class double-zero aluminum foil production line Hay:A03WHHX
Sekisui Chemical Industry Co., Ltd. has created a colored carbon fiber material that is processed by sputtering technology: an ultra-thin layer of ionized metal particles is directly bonded to the surface to make a colored carbon fiber fabric
Two special steel manufacturers in China successfully developed high toughness aluminum-silicon/Al-Si coated hot stamping steel, which have attracted great attention from the automotive industry Hay:A02MGJT
Forged aluminum alloy automotive suspension control arms, aluminum alloy precision forgings, castings components and parts leading manufacturers invest in automotive and aerospace aluminum alloy forging deep-processing projects Hay:T03SDHQ
Mass production of NCM 811 batteries at LG Chem’s Chinese plant or supply to Tesla’s Shanghai plant
China biggest fine copper wire and rod manufacturer builds a new production base Hay:A03SZZW
Wire fabric maker colorFabb launches multifunctional flexible new wire: varioShore TPU, which supports simultaneous 3D printing of different hardnesses
10th anniversary of BorgWarner’s joint venture with Chinese companies
Two-speed gearbox in the Porsche pure electric sports car Taycan
Ultra-thin light guide plates, light guide film(LGF),microlens array film(MLA) ,light shaped diffuser(LSD) leading manufacturer provides nano-textured decorative materials for Huawei phones Hay:I01SZSD
The Chinese manufacturer of advanced motor systems and electric drive assembly for new energy vehicles (NEV) has installed more than 70,000 high-performance permanent magnet motors Hay:N08SHJJ
Robert Bosch to start production of silicon carbide microchips next year, which will help increase the range of electric vehicles
Continental’s former powertrain business group officially renamed Vitesco Technologies
Arkema invests 20 million euros to expand French Orgasol® special polyamide powder production capacity
Japan Taisei Construction has developed an ultra-light carbon fiber composite (CFRP) structural component-T-CFRP beam
Henkel and RLE International jointly display lightweight material components for body parts: solid frames or carriers made of high-fiber reinforced polymer (FRP) and selective reinforcement materials (Henkel s Teroson EP structural foam)
Oak Ridge National Laboratory/ORNL develops new materials for Carbon Fiber Reinforced Plastic / CFRP lightning protection
Chinese high-precision metal mask (FMM) manufacturer invested 530 million yuan to build an OLED metal mask (including FMM) project Hay:I03SDAL
Various applications of BASF’s Mini Granular Foamed Thermoplastic Polyurethane (E-TPU) Infinergy®
German entertainment technology startup Holoride collaborates with Universal Pictures and Ford to jointly develop Holoride anti-motion sickness technology
LANXESS launches laser weldable polyamide and PBT Durethan LT and Pocan LT (laser transparent) at K 2019
Toyobo officially acquires Teijin Tesin Film Solutions
Chinese electronic heat dissipation material manufacturer successfully mass-produced 430mm graphene-like high-conductivity film Hay:F03AHTH
SK Integrated Chemical plans to acquire Arkema’s high-performance polymer business
Completion of new Yokohama China plant
K 2019 | Covestro and Align Technology showcase smart and transparent correction products made with SmartTrack® materials
South Korea develops new technology for transparent electrode materials to overcome the shortcomings of ITO
lectra car interior fabric zero-gap trim solution for significant cost savings
Covestro INSQIN® textile coating technology helps future car design
Carbon fiber fabrics, aramid fiber fabrics, high-performance carbon / carbon composite material manufacturers invest in the expansion project of carbon fiber hot-field prefabricated parts and aircraft carbon brake prefabricated parts Hay:D02JSTN
Removal of middlemen BMW will directly purchase cobalt and lithium from 2020
Sumitomo Chemical plans to focus on the development of high-end polarizers
French oil company Total doubles recyclable polypropylene capacity
In the field of new automotive materials: bio-based polylactic acid (PLA) thermoplastics may replace carbon fiber composites
The production capacity of titanium alloy 3D printing materials for manufacturers of titanium alloy precision castings, titanium alloy precision forgings, titanium alloy powders, and precision titanium alloy parts reaches 30 tons per year Hay:H01HEHT
Carbon/silicon carbide and ceramic-based composite materials, high-purity carbon materials: high-purity graphite powder, high-purity thermal field materials, high-purity graphite parts leading manufacturer and supplier Hay:B06HNDL
Chinese graphene-based lithium ion battery, graphene energy-saving lighting, graphene thermal management, and graphene anticorrosive coating leading manufacturer cooperate with University of Manchester to tackle suspended graphene sensor chip Hay:H02HBDX
Fluence and Sembcorp cooperate to develop battery energy storage projects
Visteon’s third quarter net income drops 33%, new business leads to increased revenue
PolyOne’s Performance Products and Solutions (PP & S) business was sold to SK Capital
Covestro Maezio ™ continuous fiber reinforced thermoplastic composites create a new concept in automotive aesthetics
BorgWarner, a leading supplier of international drive systems, builds high-voltage pure electric prototypes
Volkswagen and NXP Semiconductors launch the world’s largest vehicle-road collaboration technology
United Soft Plastics opens new engineering thermoplastic elastomer (TPE) material manufacturing plant
Automotive lighting and electronics specialist HELLA dual voltage battery management system
Swiss scientists observe the degradation rate of human implanted magnesium alloy from the nanoscale
Battery silicon-based anode materials (which can replace graphite anode materials in lithium-ion batteries) company Sila Nano announced a new financing of $ 45 million
China has made a series of progress in the research of terbium gallium garnet (Tb3Ga5O12, TGG) based magneto-optical ceramics
INNOPHYS, a Japanese leader in skeletal clothing, launched the “Muscle Suit®Every” skeletal clothing. This lightweight skeletal suit is made with BASF’s high-performance material solution Ultramid® polyamide (PA)
Volvo cooperates with CATL and LG chemistry to use the blockchain to trace the cobalt material in the battery
AkzoNobel has completed the acquisition of French aviation paint manufacturer Mapaero
Celanese signs a comprehensive strategic cooperation agreement with a Chinese manufacturer of building waterproofing materials technology
Faurecia China and China leading Automotive electronics supplier allwinner Technology Sign Strategic Cooperation Agreement
Kiekert AG, the global leader in automotive door lock system technology, a new entry system solution
American Wisconsin Oven company developed multi-zone carbon fiber composite curing furnace
Faurecia Advanced Fuel Cell System
China Metal 3D Printer enters Aviation customer fields such as Safran and Boeing Aerospace Suppliers, producing aluminum, titanium and nickel-based superalloy parts for its 3D printers Hay:H01SZBF
Bosch spends 1 billion euros building chip plant for self-driving cars
Pierberg introduces air-conditioning components for electric vehicles
Henkel introduces the first photosensitive 3D printing material that meets the aerospace fire, smoke and antivirus requirements
Cree and STMicroelectronics expand and extend existing SiC wafer supply agreement
China has developed high nitrogen doped porous microcrystalline carbon and potassium anode materials
Desktop Metal releases shop system for production-grade metal bonding jet 3D printer
Faurecia and Michelin formally establish hydrogen energy travel joint venture
Bridge accessories, special steel casting and forging manufacturers provide low-alloy corrosion-resistant cast steel, special vibration isolation and corrosion-resistant bridge bearings for Hong Kong-Zhuhai-Macao Bridge project Hay: T02LYSR
Rheinmetall’s Solidteq debuts at Formnext to showcase metal 3D printing solutions
Micro-nano optical manufacturing, laser image and holographic packaging, micro-optical film manufacturers invest in the construction of micro-nano optical light guide plate production line Hay:F06SZSD
BASF breaks ground on biggest project with $ 10 billion investment in China
The new high-precision electronic copper foil and precision copper wire project put into operation Hay:A03SZZW
China’s “Ultra-large-scale metal material components homogenous construction forming foundation” project officially launched
Mitsubishi Chemical acquires American company Advansource Biomaterials thermoplastic polyurethane elastomer (TPU) business and establishes medical device market sales channel in the United States
Carbon fiber composite materials boost China manufacturing 2025 strategy
China PV coated glass, ultra-thin physical tempered coated glass manufacturers and monocrystalline silicon photovoltaic solar cells and module manufacturers signed a supply agreement Hay:B04CZYM
STMicroelectronics completes acquisition of Norstel AB, a silicon carbide wafer manufacturer, to strengthen internal SiC ecosystem
BASF push-pull extrusion molding Elastocoat C polyurethane (PU) resin temperature stability up to 200 ° C
Lightweight and high-strength metal materials: high-performance magnesium alloys, new aluminum alloy materials, and polyimide manufacturers expand the application range of light alloy materials to boost China’s aerospace Hay:A03HNHT
Chinese and American scientists study to improve the fatigue life of nickel-titanium alloy materials
Orbital Marine Power, a developer of floating tidal generators in the UK, has partnered with AC Marine & Composites to produce the world’s largest tidal generator composite blades
SGL Carbon and Solvay collaborate to develop large tow carbon fiber composites for aviation structures
Carbon fiber composite material will become the main material of UAV
Carbon fiber market analysis: Chinese carbon fiber composite materials manufacturers are in the stage of technological improvement and capacity expansion
China Superior Automotive Precision Aluminum Casting Components & Parts Manufacturer
China Advanced & High Performance Non-ferrous/Copper Alloy & Composite Materials Industry
China Advanced & High Performance Energy saving & Environmental Building Materials Industry
China Advanced & High Performance Targets Materials Industry
One of China Automotive Bearing Manufacturers & Suppliers
China Manufacturer and Supplier for Clutch Cover,Disc Assembly,Clutch Master Cylinder,Release Bearing,Hydraulic Bearing,Flywheel Tortional Damper,Torque Converter,Clutch Booster
Supports multiple systems: China Thundersoft Intelligent Cockpit Platform Upgrade
Brembo:The Evolution of Automotive Braking System
China Yangtze River Delta Auto Parts Industry Alliance “was established
RRheinmetall’s aftermarket business: Motorservice Attended Automechanika
World’s first carbon fiber composite core conductor UHV transmission line put into operation in China
New breakthrough in China’s Para-aramid fiber development Hay-D01YZHX
Analysis on the development of carbon fiber and its composite materials in China and abroad
China carbon fiber continuous sucker rod project passed the acceptance Hay:D02SLXD
China Leading Manufacturer and Supplier for DIGITAL PRINT MEDIA & INDUSTRIAL FABRICS Hay:D01ZJHLD
The world`s first carbon fiber railway bogie (called CAFIBO) was jointly developed by ELG Carbon Fibre and the University of Huddersfield`s Institute for Railway Research
Huayu Automobile and Joyson Electronics intend to split Yanfeng Baili
Lotus to be equipped with Quanergy S3 LiDAR product which developed in collaboration with Quanergy Systems and China Auto Maker Geely
China Tie-one Automotive Parts Manufacturer and Supplier:Huayu Automotive Invests 1 Billion in New Technology R & D Center
ZF Friedrichshafen AG’s third R & D center in China opens in Guangzhou
Evonik announces release of PA12 powder for food
China Leading Titanium Alloy Materials Manufacturer and supplier: The Application of Titanium Alloy Welded Pipe/Tube in Pure Terephthalic Acid (PTA) Equipments/Devices-Hay:A04HNJT
Velodyne withdraws from China: is the victory of domestic lidar? Or the cold winter of autonomous driving?
Chinese Academy of Sciences has developed high-performance graphene lithium battery materials
Continental’s overall human-computer interaction solution is designed for autonomous vehicles
Hantai Tire CEO arrested for bribery
Henkel, Carbon Revolution collaborate to commercialize composite wheels
Panasonic CEO: No plans for Tesla’s new battery plant in China
Scott Bader partnered with ShaPE to produce the first curved multi-hollow pultruded carbon fiber bumper beam for automotive
Nissan recalls 450,000 cars due to brake system failure
Eaton to launch new 4-speed gearbox specifically designed for heavy electric commercial vehicles
China Special Glass Manufacturer and Supplier:Ultra-thin tempered glass,AR+ITO high-transparent touch screen glass Hay:B04ZHSX
Aleris, the world’s leading supplier of high-quality aluminum alloy sheet materials for the aerospace industry,Looking forward to the development of the aerospace industry in the Asia-Pacific region in the next 20 years
Monash University develops a new magnesium alloy to solve the structural integrity of automotive and aerospace products
China Leading High temperature furnace/Kiln refractories for glass industry refractories, fused cast refractories, cement industry refractories, steel industry refractories Hay:F03RTKJ
China high precision red copper strips,Electronic grade precision rolled copper foil, brass strip foil,purple copper strips, tapes manufacturer and supplier Hay:A03AHZY
Superelastic gel polymer electrolyte (GPE) buffer layer, surrounding the silicon-based negative electrode, making pure silicon negative electrode possible to increase the battery energy density
China Leading Manufacturer and Supplier for EMI (electromagnetic interference),EMC (electromagnetic compatibility) protection materials, thermal management materials, and licensed synthetic graphite Hay:F05ZSWY
China leading ultra-thin mirror/Shiny surface precision cold-rolled stainless steel strips and wide-width cold-rolled stainless steel strips successfully IPO Hay:A02ZJYJ
China Manufacturer and Supplier for Track vibration damping and noise reduction products Hay:F01LYKBS
Aviation PU Foams, PE Foams, Melamine Foams, PMI Foams, Metal Foams market analysis: list of leading manufacturers of aviation polyurethane foam products and development trend of aviation polyurethane foam market
China’s subways made of carbon fiber composite materials completed the trial operation and passed the acceptance. The main structure fully applied carbon fiber composite materials, and the weight of the whole vehicle was reduced by 13%
Kotobukiya Fronte and EconCore, a technology provider for the continuous production of thermoplastic honeycomb sandwich materials, signed a licensing agreement for the production technology of thermoplastic honeycomb materials
China leading brazing aluminum clad and multi-metal clad material manufacturer and supplier Hay:D08WXYB
Chinese researchers have developed superelastic and fatigue-resistant carbon nanofiber aerogels that are resistant to temperature changes
Monash University initiates research on stronger and lighter magnesium alloys to improve the structural integrity of products in the automotive and aerospace industries
China Medical Consumables Company’s dental titanium material 3D printed metal titanium bracket CFDA dental certification approval Hay:B05SHRB
China Manufacturer and Supplier for Ultra-white glass substrate, ITO conductive film glass, TFT-LCD ultra-thin glass substrate, 0.2mm electronic information display glass substrate Hay:B04KSJH
IBM Invents Heavy Metal and Cobalt-Free Battery
China Manufacturer and Supplier for Rare metal ultrafine powder material:Tantalum carbide, Chrome carbide, Niobium carbide, Titanium carbide, Molybdenum carbide, Zirconium carbide, Vanadium carbide, Tungsten carbide Hay:A05CSWH
China Leading PTC heater Manufacturer for electric car/New energy vehicle Hay:Q03SDBL
China Leading Manufacturer and Supplier for Titanium alloy powder, superalloy powder, aluminum alloy, copper alloy, cobalt chromium alloy and other high-end metal powders Hay:A05JSWL
China’s high-end flexible copper-clad laminate manufacturer’s 5G flexible copper-clad laminate project goes into mass production, supplying for Huawei, Apple, etc. Hay:I04JSJC
High-end titanium and titanium alloy production line project of China’s extra large vanadium titanium steel company started Hay:A04PGJT
Chinese materials researchers synthesize high-entropy MAX phase materials
Chinese researchers prepared epoxy resin flame retardant composite materials with good light transmission properties
Chinese researchers successfully developed high-capacity, high-stability polyimide lithium battery cathode materials
University of Surrey successfully developed a strong multilayer nano-barrier with ultra-light and stable carbon fiber reinforced polymer (CFRP)
Japan discovers a new layered superconductor composed of bismuth, silver, tin, sulfur and selenium as a conductive layer, and uses graphene to develop zero-consumption high-temperature superconducting nanodevices
Research And Markets: Global flame retardant plastic market is expected to exceed USD 55 billion by 2024
Chine ultra-high-power graphite electrode manufacturer has made new breakthroughs in the carbon fiber reinforced technology of graphite electrode contact joints Hay:B06FDTS
China high-performance composite material manufacturer`s first AGM (Absorbent Glass Mat) Separator production line at the Vietnam base: successfully put into production Hay:D02NJBX
Growing demand for plastics, paints and coatings in the construction, packaging and automotive industries will drive global demand for specialty carbon blacks
Covestro sells its polycarbonate PC sheet business to the Serafin Group
Leading precision copper tube, bar and fitting; aluminum products and copper-aluminum compound bar manufacturer and supplier Hay:A03ZJHL
The ever-changing carbon fiber composite watch
China’s two high-precision metal mask manufacturers simultaneously invest in Fine Metal Mask FMM, a key material for OLED evaporation Hay:I03NBHC
The 2019 China Science and Technology Awards announced that single crystal graphene, the preparation of nitrogen-doped graphene, carbon nanotube composite fiber lithium ion battery technology is on the list
Leading Polyphenylene Sulphide: PPS Manufacturer and Supplier
China’s special oil and special equipment manufacturer successfully developed high temperature, high pressure and high sulfur containing packer products Hay:U07ZGSH
China Leading Manufacturer of Polymer material track vibration-damping fasteners, vibration-damping elastomers,advanced track vibration-damping system, power supply overall insulation protection system, tramway fastener system Hay:F01SXCM
Light Core Steel TM New Composite Materials Hay:D04SDSQ
Development opportunities for high-performance composites in the 5G era
China Leading Manufacturer and Supplier for Aviation aluminum alloy, titanium alloy, high temperature alloy, high strength structural steel and other forgings Hay:T03SDNS
Continuous fiber reinforced thermoplastic (CFRTP) composite materials, unidirectional (UD) reinforced carbon fiber tape and carbon fiber impregnated in polycarbonate (PC) matrix and other high-performance composite materials in the electronics industry
China Leading Manufacturer of Hot-dip galvanized steel pipe, petroleum casing, spiral welded pipe, rectangular pipe, steel-plastic composite pipe Hay:A02TJYF
China Leading Manufacturer and Supplier of High-precision wind power bearings, deep groove ball bearings, angular contact ball bearings, tapered roller bearings, thrust spherical roller bearings Hay:U03ZJTM
China Leading Manufacturer and Supplier of Ultra high voltage, high voltage cross-linked cable, special rubber sheathed cable, aluminum alloy wire Hay:F04TBLN
China Leading Manufacturer and Supplier of RF coaxial cable, leaky coaxial cable, symmetrical communication cable, signal cable, High temperature coaxial cable Hay:F04JSZT
China leading UHV porcelain insulators and UHV composite insulators manufacturer and supplier Hay:F04DLDC
China Leading manufacturer and supplier Iron castings components and parts for large wind turbines, castings for major large equipment Hay:T02ZJRY
China Leading Manufacturer and supplier of Fine steel wire rope, steel wire rope for conveyor belt, steel cord for tire, cutting wire Hay:A05JYFES
China manufacturer and supplier of 6-8μm high-precision lithium battery copper foil,high-precision electronic copper foil, various copper clad laminates for TWS headphones Hay:A03GDCH
China Leading manufacturer and supplier of High Precision Automotive Gears,Robot reducer,Rail transit gear,Engineering machinery gears Hay:U02ZJSH
China Leading Manufacturer and Supplier of Superhard materials: industrial diamond, cubic boron nitride, composite sheet, cultivated diamond, large-scale polycrystalline diamond, high-purity graphite Hay:B06ZNZS
Chinese building decoration and thermal insulation curtain wall manufacturer has developed a new process for the production of metal surface composite curtain wall panels Hay:K06SDHX
Application of long glass fiber reinforced PP composites in automotive parts
Solvay composite parts rapid curing molding technology Double Diaphragm Forming, composite parts forming only need 3 minutes
China Amorphous nanocrystalline iron core,Amorphous stator core manufacturer and supplier Hay:F05BJAT
STMicroelectronics and ROHM Semiconductor reach SiC wafer cooperation
China Leading manufacturer and supplier of Aerospace composite materials interior/exterior trims,sub-bearing structural parts and luxury car interior/exterior trim carbon fiber composite materials Hay:D02CZCO
Nano Letters: Chinese researchers find adjustable hysteresis of amorphous silicon nanowires
China The world’s first 5-curved 3D glass phone back cover manufacturer and supplier:GPS 3D screen, PAD and NoteBook cover lens, 2.5D front glass, back glass, 3D front glass, back glass products Hay:B04ZJYX
China aerospace precision aluminum alloy titanium alloy, high temperature alloy and alloy steel forgings, oversized special aluminum alloy material manufacturer Hay:A03SDNS
Carbon nanotube application research areas: electronics, biomedical fields, aerospace (research spacecraft lenses, composite material reinforcements), energy fields (supercapacitors, lithium-ion batteries, and solar thermal photovoltaic equipment)
China’s ultra-fine, ultra-pure titanium powder and titanium alloy injection molding process and product manufacturers set up titanium alloy R & D center Hay:A04HNMX
Sulfoxide chloride, m-phthaloyl chloride/p-phthaloyl chloride , Chloric ether, p-nitrobenzoyl chloride, Polyetherketoneketone (PEKK) Hay:C02SDKS
China leading manufacturer and supplier of high precision copper and copper alloy scrip (foil), high precision electronic rolled copper foil Hay:A03HZGY
China Leading High Precision Rotary Piston, Corrosion Resistance Ceramic Roller Manufacturer and Supplier Hay:B03FSJG
China leading manufacturer and supplier of High-performance carbon fiber and fabric, carbon fiber prepreg, glass fiber prepreg, carbon fiber composite products Hay:D02WHGW
China Leading manufacturer and supplier of attapulgite mineral desiccant, LOW-E insulating glass special desiccant, packaging desiccant and air purifier Hay:F08XYBT
Covestro: new medical grade MAKROLON ® M204 LF, M402 LF and M404 LF polycarbonate meet the needs of drug delivery and surgical equipment
BASF completes acquisition of Sol Technyl® polyamide (PA 6.6) business, broadens engineering plastics business
China leading amorphous and nanocrystalline ribbon manufacturer and supplier Hay:H06AHZC
Sigmatex launches new products of recycled carbon fiber non-woven fabrics
Tesla develops high-strength and high-conductivity aluminum alloys, which have high strength and high conductivity and can be used for die-casting electric vehicle parts
China conductive silver glue, EMC IC chip epoxy encapsulant, PMC commutator phenolic encapsulant, electrical grade glass fiber reinforced epoxy encapsulant manufacturer
China leading marine ship heavy anticorrosive coating, graphene anticorrosive coating, steel structure anticorrosive and fireproof coating manufacturer Hay:M02FJXH
Markets and Markets: the global graphene battery market is expected to exceed $ 600 million by 2030
China Leading Sunscreen Fabric /Shade composite fabric manufacturer and supplier Hay:D02GZHD
China leading conductive silver paste, PV silver paste, filter paste, semiconductor and 5g materials manufacturer and supplier Hay:I02CZJH
China leading manufacturer of PET spunbonded nonwovens, PET needle punched nonwovens, RO membrane backing materials, polyphenylene sulfide (PPS) spunbonded spunlace filter media, polyphenylene sulfide (PPS) ultra-fine staple fiber materials Hay:F07GDBH
China leading Micron diamond wire, electroplated diamond wire saw and other diamond superhard tools manufacturer and supplier Hay:B06SXYL
China leading Optical film material, conductive, wave absorbing material, environmental protection recyclable degradation protective film material, shielding heat dissipation material manufacturer and supplier Hay:F06AHMX
China leading Pre-stressed concrete used Steel rod, steel strand, High Speed Railway, Subway used concrete track plate manufacturer and supplier Hay:A02TJYL
Australia Sicona develops hybrid silicon carbon anode material, battery energy density increased by 50%
Metal nano powder (aluminum, iron, copper, silver, nickel, titanium, tungsten, cobalt, etc.), special alloy powder (iron alloy, aluminum alloy, titanium alloy, etc.) Hay:A05SCHB
Ascend Performance Materials LLC, supplier of integrated polyamide (PA) 66, acquires Italian blend masterbatch company D’Ottavio Group
Intelligent dimming glass,smart electronic control liquid crystal glass,Light Switchable Glass manufacturer and supplier Hay:B04ZJDS
Intelligent/Smart Electronic Color Glass,Smart Electrochromic Glass for Windows manufacturer and supplier Hay:B04ZJDS
High temperature and high humidity resistant hot melt adhesive film for automotive FFC, EMI shielding material, LVDS absorbing material, FFC MCC flat flexible cable, PET OPP PVC TPU electronic adhesive protection film manufacturer Hay:F04GDLE
Rare earth metals and alloys: samarium-neodymium alloy, metal cerium, metal lanthanum, lanthanum cerium alloy, europium-iron alloy, europium-iron alloy manufacturer and supplier Hay:A06BTPL
Markforged’s breakthrough extruded copper 3D printing reduces the cost of electric vehicle parts
High Precise Stamping and Injecting Molding Parts,High precision μ-level accuracy stamping mold manufacturer and supplier Hay:T01WXWY
Titanium and titanium alloy metal,Layered metal and clad metal composite materials,Tungsten and molybdenum materials, Rare metal materials manufacturer and supplier ay:A04SXXB
Rhenium-containing superalloy materials, nickel-based superalloys, superalloy single crystal turbine blade Hay:A04CDHY
China Non-toxic aseptic magnesium heat insulation and fireproof integrated wall board,decorative plates, prefabricated buildings materials, green mortar,external wall insulation system, fireproof construction products manufacturer and supplier Hay:K05JSLQ
China sky railway group purchases 2.455 billion yuan of special magnesium alloy plate and strip from non-ferrous metal material plates, multi-metal composite materials, magnesium alloy and other new materials manufacturers Hay:A03NXZT
Sepiolite material, sepiolite air purifying agent, aldehyde-absorbing sepiolite flour, sepiolite for diatom mud, sepiolite chelating agent for soil management Hay:B06XTYY
Exterior Thermal Insulation Rock Wool Board for building,Rock wool for exterior wall insulation manufacturer and supplier Hay:K06YZKW
3C electronic precision metal structural parts, precision plastic structural parts, Base, precision mould manufacturer Hay:T01SZSL
Bimetal band saw blade, hard alloy band saw blade manufacturer and supplier Hay:T10HNTJ
Leading wrought high-temperature alloy disk forgings, bars, plates, strips, pipes, wires manufacturer and supplier Hay:T02BJGY
Leading Glassfiber Reinforced Epoxy piping (GRE) ship chemical pipelines,bimetal composite pipelines,corrosion resistant fiberglass storage tank manufacturer and supplier Hay:D02JSXY
Leading Graphene-based lithium-ion batteries, graphene energy-saving lighting, graphene thermal management, and graphene anticorrosive coatings manufacturer and supplier Hay:H02HBDX
Leading rare metals materials:titanium,tantalum,niobium and titanium alloy,tantalum alloys,niobium alloys manufacturer and supplier Hay:A04NXDF
To reduce sintering deformation after 3D printing, Markforged’s continuous fiber filament (CFF) 3D printing technology applies ceramic materials to extrusion metal printing
SAERTEX extends its carbon fiber composites supply contract with Bombardier for A220
Leading epoxy copper clad laminate,Thermoplastic composite honeycomb panel SMC / BMC unsaturated polyester glass fiber molded parts manufacturer and supplier Hay:I04ZJHZ
high-purity crystalline hafnium and crystalline zirconium metal materials, targets, plates, rods, filaments, pipes, foils manufacturer and supplier Hay:A01NJYT
LCD glass substrate, LCD panel, cover glass, photovoltaic glass manufacturer’s G8.5 + generation 0.5mm LCD glass substrate products successfully rolled off the production line Hay:B04CHJT
Leading Para-aramid filament, aramid yarn, aramid staple fiber, aramid cloth, aramid colored filament, aramid colored staple fiber manufacturer and supplier Hay:D01SZZD
Sapphire wafer manufacturer/maker invests in silicon carbide crystal material project Hay:B06ZJLX
Asymmetric multi-channel silicon carbide ceramic membrane microfiltration and ultrafiltration membrane material manufacturer and supplier Hay:F07HBDJ
Ultra-thin light guide plate, light guide film and diffuser (film),microlens array films,holographic packaging material, optical and conductive devices manufacturer and supplier Hay:F06SZWG
China leading silicon carbide (SiC) and gallium nitride (GaN) manufacturers invest in the construction of silicon carbide crystal materials and preparation projects Hay:B01ZJLX
Molybdenum-rhenium-based ultra-high temperature alloy aviation precision parts and components,Aviation engine and industrial gas turbine blades manufacturer Hay:A04SXLS
China leading 6μm-70μm ultra-thin copper foil,200μm-500μm ultra-thick copper foil, 6μm-70μm porous copper foil,Lithium battery anode material copper foil manufacturer Hay:A03DGHW
Leading conductive, semi-insulating silicon carbide/SiC substrate material manufacturer and supplier Hay:I04SDTY
China top high-purity metal materials and sputtering target manufacturers new electronic materials research institute and production base cooperation project
Frimo and Hennecke Machinery form strategic partnership to provide polyurethane solutions for the automotive industry
Leading 10μm battery foil for lithium ion electronics, high precision aluminum and aluminum alloy plate, aluminum alloy strip manufacturer and supplier Hay:N01SDNS
Comparison of the application market of carbon fiber composite materials between China and the United States
UHMWPE ultra-high molecular weight polyethylene fiber and aramid fiber composite bulletproof materials D01BJPN
Sinopec quickly built 10 polypropylene melt-blown cloth production lines to accelerate the formation of new KN95 and medical mask production capacity
Leading Polypropylene film for capacitor, polyester film for capacitor, metallized film manufacturer and supplier Hay:C04AHTF
Solvay’s new epoxy prepreg CYCOM® EP2750 completes the production of complex aerospace components in 30 minutes
Chinese researchers developed Superhigh Electromagnetic Interference Shielding of Ultrathin Aligned Pristine Graphene Nanosheets Film
Special alloy materials: high-quality gear steel, high-quality mold steel, special stainless steel, and high-temperature alloy, ultra-high-strength steel and special alloy precision components manufacturer and supplier Hay:A02SZGD
Chinese researchers study Ultrahigh thermal conductivity in isotope-enriched cubic boron nitride
Leading TiNi, TiTa, TiAl, TiNbZr and CoCrMo spherical metal powder, metal 3D printed spherical tungsten powder manufacturer and supplier Hay:H01XASL
LIPEX Engineering and ABV Holding have concluded a contract to build a delivery plant to produce basalt fibers.
Analysis of Additive Remanufacturing Technology of Nickel-based Superalloy Based on Welding
Core material of foldable flexible screen: nano silver wire transparent conductive film, transparent conductive paste manufacturer set up production center in China Hay:H02CZXR
Manufacturer of precision automotive aluminum alloy parts and aluminum plates for printing plates plans to build a new smart factory for high-strength aluminum alloy materials Hay:A03ZJWB
High-purity silicon carbide, silicon carbide wafer, high-purity silicon carbide single crystal substrate manufacturer’s silicon carbide material industrial base put into operation Hay:B01ZGDK
Erickson Incorporated’s latest-generation composite main rotor blades make their debut, and S-64 AIR CRANE® and CH-54 Aerial Crane fleets will use this composite innovation
Titanium alloy Tubes/Pipes, vanadium-nitrogen alloy vanadium pentoxide, high vanadium iron, vanadium trioxide, manufacturers become the leader in the application of 5G technology in the international vanadium industry Hay:A04PGJT
Solar cell adhesive film, solar cell back sheet, photosensitive dry film, flexible copper clad laminate, silicone material, hot melt adhesive film (hot melt adhesive), hot melt mesh film (double-sided adhesive) manufacturer and supplier Hay:I04HZFS
CIMComp, the future composite manufacturing research center, announced plans for two 36-month composite core research projects
China leading tungsten alloy sheet, TZM plate and precision tungsten and molybdenum parts manufacturer and supplier Hay:A04LYSF
About Polyetheretherketone (PEEK) High Performance Plastics
Leading Aluminum alloy extruded profiles and precision machined parts, ultra-high strength, corrosion-resistant 7055 aviation aluminum alloy sheet manufacturer and supplier Hay:A03SDYH
AlSiC, Al / SiC metal-based ceramic composite materials and components,Copper-based diamond composite and fiber reinforced metal composite manufacturer and supplier Hay:D04HNHW
Precision Magnesium Forged parts,Magnesium powder (grain), magnesium alloy profiles, magnesium desulfurizers, passivated magnesium powder, aluminum magnesium powder, magnesium alloy integrated wheels, LED magnesium aluminum alloy radiators Hay:A03HNJL
Polyetheretherketone (PEEK) engineering plastics industry and market development
Precision magnesium alloy extrusion parts, Precision magnesium alloy die-casting components and parts, plate, Magnesium alloy composite honeycomb panel manufacturer and supplier Hay:T06SDHS
Electric vacuum ceramic tubes and metallized ceramics, ceramics for medical devices, new energy ceramics, electronic ceramics, aluminum nitride ceramic heat sinks, ceramic insulators (shafts) manufacturer and supplier Hay:B01HNXC
Leading Rare earth metal,Rare earth magnetic materials, rare earth polishing materials, rare earth hydrogen storage materials, rare earth light emitting materials, rare earth catalytic materials manufacturer and supplier Hay:A06BFXT
Precision metal structural part supplier Hay:T09KSKS
Changes in the development trend of marine carbon fiber composites
Using PTFE nanofiber microporous composite membrane material, China mass production microporous membrane protective mask
Application of high-end quartz in electric light source:LED
Arevo launches the first thermoplastic composite electric bike / scooter
British Marshall Advanced Composites Company awarded 5-year contract from Lockheed Martin for C-130J interior trim panel for transport aircraft
Modified plastics for automobiles, low emission and low odor long glass fiber reinforced polypropylene materials for automobiles manufacturer and supplier Hay:D02SHPL
Ceramic membrane system, nanofiltration element, Flow-Cel ultrafiltration membrane system, porous homogeneous microtubule membrane, membrane bioreactor (MBR), hollow fiber membrane system, high pressure reverse osmosis membrane manufacturer Hay:F07XMSD
micro-nano optical films,light guide film(LGF),the microlens array film(MLA),light shaped diffuser(LSD) Hay:I01SZSD
Rhenium-containing aluminum alloys Hay:A03ZZQY
Leading aerospace high-performance carbon fiber and glass fiber composite materials, Aluminum stranded carbon fiber composite reinforced core cable manufacturer and supplier Hay:D02HEBX
Insulation, shielding, bulletproof, wave-absorbing sandwich structure composite sheet, brazed aluminum honeycomb panel manufacturer and supplier Hay:D04HTKG
EVA, TPU, SGP laminated glass film, aviation glass protective film, bulletproof armor film manufacturer Hay:C04SDSD
Introduced Westinghouse AP1000 nuclear grade zirconium material technology, China’s third-generation nuclear power nuclear grade zirconium material achieved commercial production Hay:A01GHBT
Tungsten element is more resistant to high temperature than metal rhenium. Why do aero engine blades not use tungsten, but use scarce metal rhenium?
Magnesium scandium alloy target, aluminum scandium alloy target, high purity metal scandium, high purity scandium oxide manufacturer and supplier Hay:A01HNDF
Chinese manufacturer of special magnesium-aluminum alloy supplies Tesla with magnesium alloy steering wheel skeleton, aluminum microchannel flat tube and precision aluminum extrusions Hay:A03NJYH
Superalloy and Superalloy Materials: Opportunities and Challenges Faced by Chinese Superalloy and Superalloy Materials Manufacturers in the Aerospace Field
Vanadium and titanium fine chemical products, titanium and its special materials, new materials of vanadium and titanium steel, steel for vanadium-containing railways manufacturer and supplier Hay:A04PGJT
Carbon fiber composite material industry chain and application status
Sintered/Bonded rare earth neodymium iron boron permanent magnet material and Tungsten alloy manufacturer and supplier Hay:05ZJYL
High-energy long-cycling all-solid-state lithium metal batteries enabled by silver–carbon composite anodes
Precision stamping dies and precision stamping metal structural parts, Precision molds for ultra-high-strength steel plate, new energy automobile aluminum-magnesium alloy parts manufacturer Hay:T01GDXX
China Top Hydrogen storage alloy, rare earth metal, rare earth oxide, neodymium iron boron rare earth permanent magnet material, luminescent material manufacturer and supplier Hay:N01ZGBF
China Top Subsea Umbilical Cables, optoelectronic composite submarine cables, DC / AC subsea power cables (AC SPC), Subsea dynamic cables (SDC) manufacturer and supplier won the bid for offshore wind power submarine cable project Hay: F04NBDF
Glass fiber, carbon fiber and metal fiber reinforced high-performance nylon plastic, polypropylene (PP), nylon (PA6, PA66 PPA), thermoplastic polyurethane (TPU), thermoplastic polyester (PBT, PET), polyphenylene sulfide (PPS) manufacturer Hay:D02SXNY
China lithium ion battery electrolyte leading manufacturers and supplier
Special modified engineering plastics PPS,Ultra-high wear-resistant special engineering plastic PPS, extrusion grade special engineering plastic polyphenylene sulfide manufacturer and supplier Hay:C03SZNP
Application of kenaf fiber polyurethane composites in electric vehicles
The nickel alloy pipe of TGJT Stainless Steel Pipe Group successfully changed from extrusion to perforation production, which will make a significant contribution to reducing process costs Hay:A02TGJT
Application of 3D printing technology in aerospace composite materials manufacturing
Fluorite CaF2, calcium fluoride crystal, acid grade fluorite fine powder, metallurgical grade fluorite fine powder are world-class scarce resources
High-performance basalt fiber and basalt fiber products manufacturer and supplier Hay:D01SCTX
Application of carbon fiber in energy, manufacturing and other fields
Application of carbon fiber composites in aerospace
Meggit supplied Bell Textron’s V-22 Osprey tiltrotor with composite material de-icing propeller rotor shrouds, heatable hubcaps, fairings and swing bars.
Leading high-performance carbon / carbon composite preforms, carbon fiber fabrics, aramid fiber fabrics, aircraft carbon brake preforms manufacturer and supplier Hay:D10JSTN
Metal 3D printing mainstream brands and technologies
Thermal conductivity of PAN-based carbon fibers and mesophase pitch-based carbon fibers
U.S. Navy uses chromate pretreatment to improve corrosion resistance of aluminum alloys
Design of Carbon Fiber Box for Power Battery for Electric Vehicles
Graphene conductive agent, graphene lithium battery electrode material manufacturer successfully developed CVD copper-based graphene thermal conductive film for smartphones Hay:F03GDMR
Lithium battery precision structural parts, power and energy storage lithium battery precision structural parts, automotive precision structural parts manufacturer and supplier Hay:N04SZKL
Danish Particle3D absorbs and degrades 3D printed bone implants
Development of continuous fiber reinforced high performance thermoplastic prepreg
Aeroengine and gas turbine superalloy hot-end components: axial crystal blades, directional and single crystal blades, casings and aviation structural parts manufacturer and supplier Hay:T02AHYL
High-strength thick-walled pipeline steel for deep-sea high-pressure oil and gas transportation,Ship deck steel and railway rails manufacturer and supplier Hay:A02LNAG
Chinese high-strength spring flat steel and automotive leaf spring manufacturer develops new high-strength heavy-duty truck spring steel Hay:A02JXFD
High-purity ultra-fine magnesium hydroxide powder, high-purity magnesia and Forsterite, high-purity sintered magnesia, and high-purity fused magnesia manufacturer and supplier Hay:B08QHMY
Global high-performance carbon fiber, aramid fiber and composite material industry development status
American Cleveland-Cliffs completes acquisition of AK Steel Holding
Magna and others cooperate to test new welding processes and design more durable automotive metal joints
Philoptics begins mass production of Fine Metal Mask / FMM for the sixth generation AMOLED wire substrate
China leading copper Indium Gallium Selenide (CIGS) Film Solar Cell Module manufacturer and supplier Hay:N05AHKS
Leading polyimide sealing material, colorless polyimide films,heat insulation material, friction material, insulation material, polyimide nano non-woven fabric for lithium battery separator manufacturer and supplier Hay:D01CCGQ
British Innovate UK and American IACMI jointly develop high-performance composite materials, which will promote the application of new composite materials in aerospace, automotive and renewable energy fields
China Special Steel Group supplies hot rolled pipe steel to Saudi APC pipe plant for Saudi Aramco project Hay:A02AGJT
The nuclear power steel plate developed by China Special Steel Group is used for the third generation nuclear power construction Hay:A02HGJT
China leading 3D Printing alloy powder/Additive alloy powder materials include titanium alloys, superalloys, aluminum alloys, copper alloys, stainless steel, mold steel, high-strength steel manufacturer and supplier Hay:H01XABL
Chinese nickel, copper, cobalt, platinum group precious metal and non-ferrous metal precision rolled product manufacturers invest in flexible copper clad copper foil, ultra-thin electronic copper foil, lithium battery copper foil Hay:A03GSJC
China amorphous alloy powder, Superalloy powder, Nickel-based brazing alloy powder, Titanium alloy powder, Nickel-based alloy powder, mould steel powder manufacturer introduce high-strength 3D printed aluminum alloy powder Hay: H01NBZY
Ultra-pure microelectronic etching and cleaning grade materials: anhydrous hydrofluoric acid, chemical materials for ultra-pure semiconductors manufacturer and supplier Hay:I03ZJST
Global Aramid Fiber Manufacturers and supplier and Industrial Development Pattern
Precise aluminum structure parts and components, Busbar, terminal block leading manufacturer and supplier Hay:T02SZCX
China’s leading LED chip technology company purchases the MOCVD system from Aixtron SE in Germany to build a Micro LED production system Hay:I06GZKJ
Carpenter Technology Corporation, leading manufacturer of specialty alloys and metal powders:Titanium alloy powder, super heat resistant alloy powder, ultra high strength steel and stainless steel powder
Hydraulic Tappet, Mechanical Valve Tappet, Hydraulic Tensioner, Rocker Arm, Nozzle, Variable Valve Actuation System (VVT,VVL), Direct Injection System (high pressure pump Tappet, GDI Housing), precise components of Transmission manufacturer Hay:Q02SCFL
Precise optics, optical low-pass filter (OLPF) and infrared cut filter (IRCF),optical display,Doublet spherical lens and reflective material manufacturer and supplier Hay:F06ZJSJ
China high alumina silicon cover glass, lithium aluminum silicon strong cover glass manufacturer expand production capacity of high-aluminum-silicon cover glass and lithium-aluminum-silicon secondary cover glass production line Hay:B04CHJT
Dow launches DOWSIL ™ 8016 water-based resin and DOWSIL ™ 107F additive to simplify the formulation of water-based high temperature resistant industrial coating
China’s rare earth alloy manufacturer provides surface FD grade automotive rare earth steel for automotive manufacturers, used in automotive parts and automotive bodies Hay:A02BTJT
In response to Coronavirus (COVID-19) pandemic, Tesla and medical manufacturer Medtronic will work together to produce ventilator
China three-layer non-woven Face Masks, medical disposable masks manufacturers and suppliers
Trinseo launches medical grade wear-resistant high-lubricity polycarbonate (PC) resin CALIBRE ™ product series, making products safer and more durable
High-precision and ultra-long large-coil heavy copper tape, electronic frame copper tape, zinc white copper plate tape, tin-phosphor bronze plate tape, oxygen-free copper tape manufacturer invest in new high-precision copper plates and strips Hay:A03SHWX
Lightweight metal structural materials (magnesium alloy, aluminum alloy, titanium alloy and composite materials): magnesium / aluminum alloy honeycomb products, thin-walled magnesium alloy precision extruded profiles manufacturer and supplier Hay:A03CDTZ
Types and applications of 3D printed nylon materials
Henkel introduces new heat dissipation materials for 400Gbs optical module applications: micro thermal interface coating material BERGQUIST microTIM mTIM 1000 series
China’s largest carbon nanotube products: carbon nanotube powder, carbon nanotube conductive paste, graphene composite conductive paste, carbon nanotube conductive masterbatch manufacturer successfully IPO Hay:H02JSTN
High-precision ultra-thin wide capacitor aluminum /alloy Foil, ITO conductive film,Optical and Electrical Films,Window Film manufacturer and supplier Hay:A03STWS
Reportlinker releases market forecast report for carbon fiber prepreg from 2020 to 2025
China’s special materials technology manufacturer obtains 10 major material research projects in the country including hard materials, refractory materials, magnetic materials, rare earth materials… Hay:A06XMWY
Sandvik would supply high-alloy austenitic stainless steel Sanicro25 for ultra-supercritical (AUSC) boiler superheaters and reheaters to Chinese High / low temperature resistant alloy and titanium tube leading manufacturer Hay: A02ZJJL
Metal 3D printing materials: titanium and titanium alloy powder, superalloy powder, cobalt-based alloy powder, aluminum-based alloy powder, stainless steel alloy powder, mold steel alloy powder manufacturer and supplier Hay:H01HNDL
Liquid Metal flexible PCB Additive Manufacturing,Liquid Metal Innovative Electronic Manufacturing System, Fast PCB Manufacturing System manufacturer and supplier Hay:H01BJMM
Nano Au, Ag, Cu antibacterial:Antibacterial powder,Antibacterial Master Batch,Antiseptic solution,Antibacterial Fiber,Antibacterial Sports Socks leading manufacturer and supplier Hay:H02XMJD
Microporous membrane with ultra-thin, antibacterial, waterproof and ultra-high electromagnetic shielding performance
Kyocera acquires all shares in Showa Optronics to strengthen optical components: ultra-precision lens polishing, high protection and low-loss film formation, and laser business
Michelin to develop carbon fiber tires for Bugatti Chiron
Manufacturers of modified plastics and plastic alloy materials build new polypropylene meltblown nonwoven fabric production line for mass production
Purdue University develops a new type of nickel metal with a single crystal nickel containing high-density ultrafine twin structure, high strength, ductility and corrosion resistance, which can be used in MicroElectroMechanical Systems (MEMS)
Evonik develops PHERILEX® ultrafine Silicon Dioxides wet chemical process
Optical cable and cable armoring materials: steel-plastic composite tape, aluminum-plastic composite tape, special steel for power lithium battery case manufacturer and supplier Hay:D04JSJT
High-end machine tools, equipped with precision casting parts, sheet metal welding parts leading manufacturer and supplier Hay:T02NTGS
In response to the Coronavirus (COVID-19) outbreak, the world is snapping up China-made ventilator
China magnesium alloy precision die-casting, extrusion, forging, rolling, casting parts leading manufacturer and supplier Hay:A03SXYG
High-performance insulation materials:insulating resins (paints), mica products, anticorona materials, soft composite materials, electromagnetic wires,motors coils, insulating papers leading manufacturer and supplier Hay:F04SZJF
China’s pioneer in the industrialization of polyimide fibers, an enterprise with full-scale production capacity from polyimide raw material to final products,polyimide engineering plastics,polyimide CPI films Hay:C02CCGQ
Large size high-purity molybdenum targets, aluminum targets, copper targets, and titanium targets leading manufacturer and supplier Hay:A01CZSJ
Aluminum alloy die casting precision auto parts and industrial parts manufacturer invest aluminum alloy precision casting and forging parts and components for EV automotive suspension and steering systems Hay:T02NBXS
3D printing Titanium alloy powder, special metal powder and precision 3D printed metal parts leading manufacturer and supplier for the aerospace, medical equipment, marine and marine fields Hay:H01WXFE
nano-fluorinated carbon cathode materials,Hay:N01SDCS
China graphite anode material project intensively put into production, silicon carbon helps break through 300Wh / kg specific energy
Monocrystalline silicon materials leading manufacturer and supplier for integrated circuit etching Hay:I02JZSG
China High Strength Aviation Windshield Original Sheet Supplier of Boeing and Airbus Hay:B04YPBL
Lubrizol 3D printed ESTANE 3DTPUM95A TPU powder passed skin allergy test
Application of Graphite Refractories in Rare Earth Metal Electrolysis Cell
Manufacturers of rubber seals, rubber products and automotive rubber sealing parts become suppliers of GM ventilator seals Hay:U07AHZD
Thermal barrier coating ceramic materials, precision ceramic structure parts and components,transparent ceramics, high-purity ultra-fine silicon nitride, titanium carbide, and titanium carbonitride powder manufacturer and supplier Hay:B03BJQK
Arkema’s new electrolyte additive LiTDI improves battery life and charging speed
Precision castings iron and aluminum alloy parts and components manufacturer and supplier for Compressor, Hydraulic equipments, Commercial Vehicle, Passenger Vehicle Hay:T02SDLC
Rare earth hydrogen storage alloy material: rare earth magnesium nickel base hydrogen storage alloy electrode replaces traditional AB5 type rare earth base hydrogen storage alloy Hay:N01ZKXD
High-precision copper rods, copper tubes, copper wires, copper strips, copper bars, copper plates leading manufacturer and supplier Hay:A03NBJT
LITHOZ 3D printing ceramic cores for aero-engine blades
China super capacitor core electrode material capacitor carbon production line has been completed and put into production Hay:B06SXMJ
Aerospace precision parts, aero engine parts and large aerospace structural parts leading manufacturer and supplier Hay:T09CDAL
Graphene heating film,graphene powder coatings, graphene oily coatings, graphene lubricants manufacturer and supplier Hay:H02SZXW
Aramid staple 1414 fiber, para-aramid fabric, nano-aramid composite material leading manufacturer and supplier Hay:D01SDZF
Meta-Aramid/Para-Aramid Honeycomb Cores,meta-aramid paper, para-aramid paper, aramid pressboard, aramid crepe paper and diamond dotted paper leading manufacturer and supplier Hay:D01SDMS
Fingerprint optical film, optical film for flexible OLED display, electromagnetic shielding composite film material, magnetic waveguide absorption material, mobile phone decoration explosion-proof film, graphene thermal tape manufacturer Hay:F06JXLH
High strength glass fiber composite materials (BWFRP) pipes manufacturer’s new glass fiber braided winding pultruded cable composite material protective sleeve production line put into operation Hay:D02GDBT
China rare earth permanent magnet materials, hydrogen storage materials, catalytic materials, luminescent materials, polishing materials, functional materials leading manufacturer and supplier Hay:A06GXGS
The conductivity of the copper-magnesium alloy strand developed by the manufacturer of ultrafine-grained copper-magnesium alloy contact wire increased by 15% Hay:F04JSKY
special anti-corrosion coatings for petroleum pipelines,high-performance marine coatings and industrial protective coatings leading manufacturer and supplier Hay:M02SHHL
Chinese liquid metal, amorphous alloy material, metal glass manufacturer and China Academy of Space Technology cooperate in the spacecraft amorphous alloy mirror project Hay:H06DGJT
High purity titanium alloy spherical powder, high purity titanium aluminum alloy powder, high temperature alloy powder, stainless steel powder leading manufacturer and supplier for 3D Printing Hay:H01BJHC
China graphene composite conductive paste leading manufacturer and supplier Hay:F04TJAK
China super-strength prism reflective film, glass micro-bead reflective material, engineering-level, advertising, license-plate reflective film, body reflective logo printing film and luminous film to manufacturer and supplier Hay:F06CZHR
China leading polymer materials for cables manufacturer and supplier has obtained invention patents: high-flame retardant composite materials for new energy high-voltage lines and preparation methods Hay:C02JSDW
China Ultra precision Laser Stencil,Nano coating Stencil,Electro-polish Stencils,SMT Stencils and Micro-cut Parts, Fine Grain Stencils,leading manufacturer and supplier Hay:T09SZGY
German metal 3D printer manufacturer SLM collaborates with Chinese medical device manufacturer to develop and manufacture surgical implants
China leading aerospace grade special intermediate alloy and aluminum grain refiner manufacturer and supplier Hay:A03HBST
China ultra-fine alloy wire, ultra-fine conductors and laminating wires, metal matrix composites, ultra-fine diamond cutting line leading manufacturer and supplier Hay:F04ZJDN
High temperature resistant, corrosion resistant, wear resistant high temperature alloy materials and their precision castings, high temperature alloy master alloy materials, Blades and Vanes for lndustrial Gas Turbine manufacturer and supplier Hay:A04SYZK
Ultra-high wear resistance alloys
Graphene, carbon nanotubes and graphite new material manufacturer`s graphene composite conductive paste products achieve commercial productionHay:F04HEWX
LeMond Carbon fast oxidized carbon fiber technology has passed the authoritative review. The mechanical performance is equivalent to that of Toray T300.
JSR and Tokyo Institute of Technology have jointly researched and found a highly heat-resistant transparent resin material with a tricyclodecane structure, suitable for 5G communication applications
Precision Control of Compound Manufacturing of Arc Increasing and Decreasing Materials
China leading 3D printing metal powder:Iron-based metal powder, High temperature alloy powder, Aluminum-based metal powder, Titanium-based metal powder, Cobalt-based metal powder, Copper alloy powder manufacturer and supplier Hay:H01JSWL
Automobile aluminum alloy precision die-casting parts and components, elevator aluminum alloy precision die-casting parts manufacturer invested new magnesium alloy auto parts project Hay:T02JSFY
New energy electric vehicle market expands, driving demand for cathode materials: 5μm and 6μm ultra-thin lithium battery copper foil/precision copper foil for lithium batteries
China high performance modified polymer materials: PP,ABS,PC,PC/ABS alloy,PS,PA,PBT,PPS,PVC,PVC/ABS alloy,TPE manufacturer and supplier Hay:C03GDYJ
China leading precision stamping parts: commutators, slip rings, connectors, lightweight parts, high-strength and elastic parts, multi-layer insulated wires and other core precision parts for motor and electrical control system Hay:T01SZKZ
European KEELBEMAN project CETMA, EURECAT, CT Ingenierie, SOFITEC jointly developed the Airbus A320 thermoplastic composite welded keel beam
Evonik VESTAPE® thermoplastic unidirectional belt passed DNV GL flexible composite pipe industry certification
Titanium alloy structural parts and large Diameter titanium alloys,zirconium alloys and tantalum alloys pipe fittings manufacturer and supplier Hay:H04NJBS
The Si3N4 silicon nitride ceramic hybrid precision high-speed bearings developed by China’s high-end bearing manufacturers have been used in China’s aero engines
Fuji Economics Releases: World Survey of Carbon Fiber Composites (CRPTP for Carbon Fiber Composites Formed and Processed / CFRP for Thermoplastic Resins)
The world’s leading supplier of solutions in the field of carbon fiber composite materials: aircraft composite interior and exterior trims and sub-bearing structural parts and automotive interior and exterior trim carbon fiber composites Hay:D02CZAT
China leading lithium iron phosphate nanometer, carbon nanotube conductive fluid and carbon nanotube manufacturer Lithium iron phosphate nanotubes have revenue of 1.02 billion, with a planned investment of 1.2 billion Hay:N01SZDF
China leading precision photoelectric thin film components: infrared cut-off filters (IRCF) and biometric filters manufacturer and supplier Hay:F06HBWF
China leading electronics gold bonding fine wires,gold clad silver alloy wire, silver alloy wires manufcturer and supplier Hay:I04YNGY
Chinese special steel manufacturers have made breakthroughs in the development of high-manganese high-aluminum non-magnetic steel and LNG high-manganese steel Hay:A02JGJT
China Graphene materials,graphene transparent conductive film manufacturer and supplier has developed graphene thermostatic water cup Hay:H02XMXC
China porous functional ceramic materials ceramic foam and honeycomb ceramic manufacturer and supplier Hay:B03SXFJ
Study on the Preparation of Electrode Materials for Supercapacitors by Ni (OH) 2 and Different Carbonaceous Materials
calcium, calcium aluminum, rare earth ferrosilicon, calcium barium aluminum iron, calcium and magnesium aluminum iron, silicon barium calcium magnesium, calcium barium calcium magnesium, aluminium deoxidizing agent manufacturer and supplier Hay:D04SHYY
China ultra-high strength steel, high temperature alloy/superalloy, special mold steel, gear steel, wind power spindle, stainless steel, precision mechanical parts manufacturer and supplier successfully IPO Hay:A02JSGD
Chinese ultra-high purity natural graphite manufacturer invests in construction of high-capacity natural graphite anode material production line Hay:B06ZGWK
A SLM 3D printed copper alloy material CuNi2SiCr that balances strength and conductivity
Conductive silver paste, electromagnetic shielding material, thermal conductive adhesive material, electromagnetic shielding paste manufacturer and supplier Hay:F04BJZK
Kyulux officially provided the world’s first TADF / Hyperfluorescence ™ luminescent material to China PMOLED Display Manufacturer wisechip
Optoelectronic display materials, modified plastics, polymer composite materials manufacturer has developed high-end optical grade light guide plates, diffuser plates, and diaphragm materials Hay:F06QDGE
Carbon fiber composite chassis, titanium alloy exhaust high-performance lightweight auto parts applied on McLaren 765LT
China leading large size quartz crucible and high temperature resistant quartz products for Photovoltaics and semiconductors manufacturer and supplier Hay:B04NMOJ
SGL CARBON GROUP will produce carbon fiber and glass fiber based composite materials for electric vehicle battery housings
Lubrizol’s 3D printed ESTANE 3D TPU M95A powder TPU passed the skin allergy test
5G communication construction will promote the development of graphene cooling market
LG Chem expands carbon nanotube/CNT production capacity in China
Trelleborg Sealing Solutions launches the Ultra High Temp Seal
Chinese carbon fiber composite auto parts manufacturer develops carbon fiber composite material trunk guard, rear floor assembly, seat panel assembly, rear floor beam assembly for OEM Hay:D02JLHY
LG Chem wins another big order and will exclusively supply lithium batteries for Lucid Motors
Global leading Biomedical grade TC4/TA3 titanium alloy wire supplier Hay:A04BJXN
TRB and Toyota Tsusho form a joint venture to mass produce composite parts for electric vehicles
Nano metal powder: nano aluminum powder, nano silver powder, nano nickel powder, nano copper powder, nano iron powder manufacturer and supplier Hay:H02HNBL
The 316KD stainless steel plate developed by the Chinese special stainless steel manufacturer was successfully used in China’s fourth-generation nuclear power sodium-cooled demonstration fast reactor Hay:A02TGJT
Soft Magnetic Nanocrystalline Alloys, Nanocrystalline Soft Magnetic Alloys, Nanocrystalline Strip Manufacturer and supplier Hay:H06GYKJ
China leading calcined alpha alumina, low sodium activated alumina, microcrystalline alumina ceramics, high purity boehmite monohydrate, aluminum hydroxide, deformed aluminum alloy, aluminum intermediate alloy manufacturer and supplier Hay:B01ZZZL
China fine wire ropes (micro ropes, special ropes), bridge cables, prestressed steel strands,cutting wire manufacturers’ high-performance bridge cables and steel wires are used in the main cables of China’s large suspension bridges Hay:A05JYFES
The leading molybdenum and tantalum supplier Hay:A04SXBT
China inner-support hollow fiber membranes and modules which are used in the membrane bio-reactor (MBR) and submerged ultrafitration membrane,filtration membrane, including MF, UF, Nano filtration manufacturer and supplier Hay:F07JSHP
Chinese researchers successfully developed Highly Thermally Conductive Dielectric Nanocomposites with Synergistic Alignments of Graphene and Boron Nitride Nanosheets
China leading fiber reinforced heat shrinkable sleeve, Heat shrinkable tape, Reinforced heat shrinkable sleeve, Heat shrinkable tube, Heat shrinkable materials manufacturer and supplier Hay:F03CDCJ
China leading polyphenylene sulfide (PPS),Polyphthalamide PPA Resin manufacturer and supplier Hay:C02ZJXH
Iron based Alloy Catalyst Powder for Synthesizing Diamonds,Matrix Powder for Diamond Tools,Infrared optical crystal materials manufacturer and supplier Hay:A02BJZC
Arkema and Chinese nanofiber filter material manufacturer jointly create KN95 based on Kynar® PVDF material and medical mask filter core material Hay:F07JSNY
Global leading Ultra low expansion microcrystalline glass supplier Hay:B04BJZC
silicon nitride ceramic matrix composites. Si3N4/silicon nitride ceramic grinding beads, silicon nitride ceramic substrates, fine polished silicon nitride bearing ball and special-shaped silicon nitride ceramic structural parts manufacturer Hay:B01WHYH
China leading rare earth sintered and bonded NdFeB magnets, Sintered samarium cobalt / SmCo magnet, aluminum nickel cobalt / AlNiCo magnet and other rare earth permanent magnet manufacturer and supplier Hay: F05BTYS
Researchers at the University of Virginia have developed a new high-temperature-resistant low-cost new metal matrix composite material by combining nickel powder and graphene flakes: graphene superalloy
Nuremberg University has developed a new 3D printing reaction sintered silicon carbide SiC new process
LANXESS: Durthan polyamide material used in BMW F900R, 900XR double-shell fuel tank
China engineering structural ceramics, functional sensitive ceramics, lightweight foamed ceramics, composite porous ceramics, ceramic filter plates, ceramic pigments and glazes manufacturer and supplier Hay:B03JSTC
Swedish researchers have developed “deformed” carbon fiber composites that can change shape as needed
China Silicon Nitride Ceramic Bearings and Rolling Elements, Silicon Nitride Ceramic Structures and components, Silicon Nitride Ceramic Insulating Parts, Wear parts, High Thermal Conductivity Parts, Sealing Elements manufacturer and supplier Hay:B01ZCDH
The world’s advanced silicon-based material manufacturers acquire Chinese manufacturers of thermally curable silicone rubber (HCR), liquid silicone rubber (LSR) and food and medical grade silicone products, Hay:C06ZGLX
China leading lightweight, high modulus precision parts and components made from controlled expansion alloys (CE alloys) manufacturer and supplier H05RDST
Global leading vanadium and molybdenum supplier Hay:A04DEAM
China Titanium and titanium alloy powder, Cobalt chroamium alloy powder, Superalloy powder, Zirconium based amorphous alloy, Lanthanum based, Titanium based, Fe-based amorphous alloys, Copper based amorphous alloy manufacturer and supplier Hay:H01CZPX
China leading spherical titanium and titanium alloy powder, nickel-based superalloy powder, stainless steel powder, mold steel powder, cobalt-chromium alloy powder, copper-tin alloy powder for 3D Printing manufacturer and supplier Hay:H01ZTSC
China biodegradable Mg-Alloy Implant products,Biodegradable degradable magnesium alloy orthopedic screws and plates,degradable metal implant materials manufacturer and supplier Hay:P01DGYA
China leading ultra-thick porous metal and metal foam materials: nickel foam, copper foam, copper nickel foam, iron chromium aluminum foam, aluminum foam, aluminum fiber board, nickel foam alloy, copper foam alloy manufacturer and supplier Hay:A05SHZW
China medical precision titanium alloy, stainless steel wire, rod, tube, profile, medical device stainless steel material, surgical implanted medical titanium and titanium alloy material manufacturer and supplier Hay:P01CZKX
China heat transfer copper tubes for refrigeration, precision copper tube parts, precision copper tube parts, ultra-long coaxial RF cable copper tubes for communication, and oxygen-free copper magnetrons for microwave manufacturer and supplier Hay:A03GDJY
Global leading 3D Printing aluminum oxide, zirconia, silicon nitride, beta-tricalcium phosphate, ATZ, ZTA, Transparent ceramics, Bioglass, Piezoceramics, RF dielectric ceramics, Titanium dioxide manufacturer and supplier Hay: H01ADLI
China’s largest stainless steel manufacturer for automotive exhaust systems won the bid for the world’s largest ethylene glycol project equipment stainless steel pipe order A02TGJT
China high-performance composite structural parts manufacturer mass production of carbon fiber offshore wind turbine blade Hay:D02ZZSD
China single-layer graphene powder, Graphene coated copper nanoparticles, Graphene-coated cuprous oxide (manganese) marine antifouling material, Graphene composite nickel-cobalt-aluminum (NCA) lithium ion battery cathode material manufacturer Hay:H02SZBZ
Italy Italdron cooperates with WASP to 3D print carbon fiber nylon composite drone
China leading Tungsten carbide supported die blanks, Polycrystalline Composites Diamond Cutter (PDC), Diamond composite sheet and bevel teeth, PCD Wire Drawing Die Blanks, Composite superhard materials manufacturer and supplier Hay:T10ZZXY
China leading titanium alloy precision casting, forging, MIM parts and components, titanium alloy 3D printing powder manufacturer successfully developed TA32 high temperature titanium alloy powder Hay: A04HEHT
Chinese researchers have developed lightweight, high strength, high damping, high energy absorption magnesium-nickel titanium bionic composite materials
Nanofabrica, a provider of 3D printing solutions for large-scale precision and complex parts, received US $ 4 million in financing, making it possible to digitally produce precision parts
Low-temperature superconducting materials industry overview
High-purity coating and sputtering target for flat panel display
3D printed Mg-NiTi interpenetrating-phase composites with high strength, damping capacity, and energy absorption efficiency
Carbon nanotube powder, carbon nanotube conductive paste, graphene composite conductive paste, carbon nanotube conductive masterbatch manufacturer’s conductive paste product sales compound growth rate of 53.7% Hay:H02JSTN
The application of high-strength wide-width precision stainless steel foil made in China with a thickness of only 0.02 mm Hay:A02TGJT
China leading electronic ceramic dielectric materials, structural ceramics: Nano-composite zirconia and alumina manufacturer ‘automotive MLCC ceramic powders production capacity increased Hay:B01SDGC
China leading high-temperature electrical grade magnesium oxide ceramic powder manufacturer successfully developed a new fire-proof cable grade magnesium oxide production line Hay: B01LNJS
Ion Storage Systems introduces ultrathin three-layer lithium oxide ceramic (Li7La3Zr2O12) electrolyte
Thermal insulation mechanism of porous thermal insulation materials
Chinese companies start titanium alloy parts fuse additive manufacturing technology research project, which can shorten the manufacturing cycle of related parts by 50% and cost by 30%
China leading automotive precision forged gears, hot and cold precision forgings Manufacturer build production bases in Japan to explore the Japanese automotive precision forging market Hay:T03JSTP
China leading stainless steel and nickel-based alloy plate tubes manufacturer and supplier for tower solar thermal power generation systems Hay:A02SHBG
Cellular ceramic architectures produced by hybrid additive manufacturing applied in solar receiver
Carbon anode / silicon microsphere structure silicon anode material
The high-speed heavy-load low-temperature self-lubricating ceramic bearings developed by the Chinese special ceramic bearing manufacturer were successfully applied to the Changzheng No. 5 launch vehicle
China precision aluminum tubes and profiles: precision cold drawn round tubes, porous extruded flat tubes manufacturer built new production bases for non-ferrous metal matrix composite aviation alloy materials, copper-aluminum alloy materials Hay:A03NTYT
Chinese bimetallic self-lubricating bearings and metal-plastic self-lubricating bearings Hidden champion company Hay:U03ZJCS
The leading molybdenum manufacturer developed high-purity tungsten sputtering targets Hay:A01SXXB
Global leading braking Systems Brembo acquires stake in tire manufacturer Pirelli
China leading film type polymer functional materials:Solar backsheet, solar cell packaging film, ultra-thin tape, protective film, high temperature resistant thermosetting insulating film manufacturer and supplier Hay:C04SZSW
Multifunctional conductive cellulose fabric with flexibility, superamphiphobicity and flame-retardancy for all-weather wearable smart electronic textiles and high-temperature warning device
China electronic functional materials, aluminum-plastic composite films, optoelectronics display materials, high-performance fibers, electronical material components, ultra-clean products, and smart mold and plastic manufacturer and supplier Hay:F08SZXL
Carbon-matrix composite thermal field manufacturer IPO Hay:F03HNJB
Chinese magnesium alloy manufacturer launches key technology research on high-performance magnesium alloy die casting
China leading tin-coated copper strips,special welding strips, copper strips, nickel strips, aluminum strips manufacturer and supplier for solar photovoltaic modulesHay:I04SZTX
China’s leading manufacturer of precision aluminum alloy elevator parts builds a new production base for aluminum alloy precision die-casting parts for electric vehicles Hay:T02NTJZ
Toyota Supra uses composite material instead of aluminum alloy and carbon fiber to create a composite three-dimensional frame
China’s leading modified plastics, biodegradable plastics, carbon fiber and composite materials, special engineering plastics manufacturers invest in the construction of polypropylene thermoplastic elastomer (PTPE) project Hay:C02GZJF
China’s leading aluminum-lithium alloy material manufacturer and ultra-light magnesium-lithium alloy technology company jointly develop aluminum-lithium alloy, magnesium-lithium alloy, super-hard aluminum alloy technology Hay:A03XASF
SmarTech: The global ceramic 3D printing market will reach $ 4.8 billion in 2030
Application of 3D printing technology in automobile parts manufacturing
German chemical manufacturer LEHVOSS provides high-performance 3D printing materials such as PA / TPU / PP / PEEK / PPS and industrial-grade customized solutions
Science Advances: Adding a small amount of graphene can greatly improve the strength of carbon fiber composites
China’s high-performance conductive material manufacturer newly built rare earth aluminum alloy superconducting material project Hay:H07HBXH
China Light Alloy Materials Technology Group successfully developed hot-rolled substrate structural grade zinc aluminum magnesium alloy Hay:A03HGTG
Chinese researchers have made progress in research on interfacial superconductivity induced by depositing thin metal films on topological semimetal WC
Sumitomo Chemical liquid crystal coated polarizers are used for the first time in folding mobile phones
Continuous fiber-reinforced composite space 3D printing equipment developed by a Chinese 3D printing technology provider completes China’s first space 3D printing experiment
Classification and application of hydrogen storage alloy/metal
Storaenso and Cordenka collaborate to develop bio-based carbon fiber composites
Current status and challenges of 3D printed lightweight thin-wall superalloy heat exchangers
Research status of the third generation of wide band gap semiconductor materials SiC and GaN
Sigmatex and Hypetex collaborate on colorful carbon fiber solutions
Global engineering foaming material expert Armacell begins to produce PET foaming core material in China
Next-generation transparent conductive film manufacturer C3Nano Corporation completed the initial equity investment of major investor Nitto Denko
China leading copper alloy electrical contact wire, graphene copper alloy material manufacturer builds new high-strength high-conductivity copper alloy contact mesh material production line for high-speed railway Hay:F04JYDG
China leading deformed and cast superalloys manufacturer invests in the ultra-pure high-performance superalloy materials projects Hay:A04JSTN
Future development trend of ceramic substrate materials in SIP
Chinese special carbon steel, alloy steel, stainless steel seamless steel pipe manufacturers invest in special stainless steel alloy seamless pipe manufacturing projects Hay:A02CZSD
China leading Anti-PID EVA film, PO film, White EVA film, Light reflective film, EPE strip, Aluminum plastic film for photovoltaic encapsulation materials, battery soft package encapsulation materials manufacturer and supplier Hay:C04JSSW
Redtail Rooftop Camper carbon fiber composite tent / camping hybrid
China leading high purity ultrafine magnesium hydroxide and high purity magnesium oxide manufacturer mass produces high purity magnesium oxide single crystal Hay:B08QHMY
Forming and maintenance are more convenient than carbon fiber composite materials, the characteristics of aluminum-lithium alloy
China leading high-precision spiral bevel gear milling fixture, pulling fixture, grinding fixture, chamfering fixture, grinding fixture, cylindrical gear hobbing fixture, shaving fixture, grinding fixture manufacturer and supplier Hay:T10SCSZ
Carbon fiber composite materials provide more possibilities for 3D printing technology in manufacturing applications
High-performance insulation and heat dissipation material Supplier for CATL and BYD power lithium batteries Hay:F04SZSW
FALCONTECH will add 50 FARSON metal 3D printers
Toray has developed a new type of high-strength and high-modulus carbon fiber
China leading rare earth metals, rare earth alloys: rare earth magnesium alloys, rare earth yttrium master alloys, rare earth gadolinium master / intermediate alloys rare earth magnesium intermediate alloys manufacturer and supplier Hay:A06JXFT
China’s liquid metal / amorphous alloy / metal glass industry development status
Properties and application of aluminum titanate (Al2TiO5) refractories
Life Biobcompo project develops low-density cellulose fiber biocomposites for the automotive sector
Global leading high purity oxygen-free copper/OFE copper manufacturer and supplier Hay:A01UKLT
AR / VR terminal creates new demand for heat dissipation materials
Chinese researchers have prepared high-performance electromagnetic wave absorbing composite materials
5G base station heat dissipation shell: semi-solid die-casting + inflation plate, Chinese local manufacturers have the advantages to emphasize
Chinese researchers make progress in research on flexible organic/inorganic thermoelectric composites:Conformal organic–inorganic semiconductor composites for flexible thermoelectrics
New intelligent aluminum alloy die-casting production line of aluminum alloy precision die-casting parts and components manufacturer put into use Hay:T02CQYJ
Researchers from China and Japan have developed 3D printed software robot fingers that can be used to manufacture soft actuators
The 1000th superconducting magnet from GE Medical Tianjin production base was officially launched
China Composite Materials Technology Corporation has developed High-strength flame-retardant fiberglass composite materials wear “armor” for rail equipment Hay:D02ZTBJ
China advanced carbon materials (including anode materials, ultrapure graphite, nano-graphite, graphene, etc.) and foamed ceramic plate manufacturer and supplier Hay:B06BJFC
The thermoplastic epoxy resin basalt fiber composite material developed by the Chinese basalt fiber composite material manufacturer is used for the first time for the reinforcement of extra large bridges Hay:D01NJXC
Corrosion resistance of titanium alloy in chemical media
Carbon fiber composite material used in medical CT bed board
China leading hydrogenerator and steam turbine generator manufacturer completed the magnetization test of the stator core of the generator set from more than 360,000 pieces of 0.5 mm thick silicon steel sheets/strips Hay:F05HEDJ
Chinese researchers have prepared a new type of carbon nanotube/graphene aerogel! Reduce electromagnetic radiation
China leading high-purity molybdenum, molybdenum alloys, copper, titanium, tungsten sputtering targets manufacturer deliver the first set of ITO targets for G10.5 TFT-LCD Hay:A01LYSF
Metal-Level Robust, Folding Endurance, and Highly Temperature-Stable MXene-Based Film with Engineered Aramid Nanofiber for Extreme-Condition Electromagnetic Interference Shielding Applications
China leading Non-ferrous metal,Metal composite and alloy precision wire: piston ring wire, vented channel steel, spring steel wire/line, precision filter alloy wire manufacturer and supplier Hay:A02DLHX
China leading bimetallic composite metallurgical rolls,boron alloy high-speed steel rolls for iron and steel metallurgy,boron alloy wear-resistant balls,boron alloy abrasive steel manufacturer and supplier Hay:T03HNST
China top Chemical Mechanical Polishing CMP pads and cleaning solutions for integrated circuit, and polyimide (PI) slurry for flexible OLED manufacturer and supplier Hay:I03HBDL
Global leading reinforced Al/SiC composites, Al/SiC components: Power Module Base Plates and Heat Sinks, Microprocessor Lids and Heat Spreaders, Printed Wiring Board Cores, Carriers and Hybrid Package Bases manufacturer Hay:F03USTT
European Space Agency launches first full-scale 3D printed copper alloy thrust room hot test
Crystal Plastic Simulation Study of the Effect of Alloy Elements on Magnesium Deformation Mechanism
Research institutes of China, South Korea and Switzerland jointly developed the technology of two-component synthetic ultra-thin metal substrate for ultra-thin mobile phone panels
China’s ultra-high-strength steel, low-temperature hot-rolled H-beam manufacturer builds China’s first heavy-duty H-beam production line Hay:A02MGJT
Chinese researchers have realized the controllable preparation of the world’s largest single crystal copper foil library with the largest crystal face index
Korean researchers design a simple method to prepare high-quality zirconia toughened alumina ZTA
China leading Plasma Spray Nozzles and Electrodes, Resistance welding composite electrodes, Anode Target for X-Ray Tube, Rhenium and Rhenium alloy product manufacturer and supplier Hay:A01ZZWL
China leading Fire Assay Crucibles, Alumina Crucible, Alumina Tube/Rod/Bar, plate, Laboratory Porcelainware Products, Corundum Crucible manufacturer and supplier Hay:B01HNFQ
The aviation aluminum alloy plate manufacturer developed 6005A aluminum alloy profiles Hay:A03SDNS
Two-photon 3D printing breakthrough: Austrian UpNano nano-resolution, high-speed printing centimeter-level products
Chinese researchers have developed Nanostructured metal material combine with high strength and high plasticity
Chinese researchers successfully developed micro-nano light ceramic metal composite technology and Zr-Ti-C-B ceramic coating modified carbon/carbon composite material
China’s first near-net shape near-net shape thin-walled titanium alloy shell hydraulic test was successful Hay:A04HTKJ
Focusing on special materials, Arkema completes the sale of its functional polyolefin business to SK Global Chemical,
Application of kenaf fiber polyurethane composite material in electric concept car
The world’s leading manufacturer of thermoplastic composites TPC,the progress and application of international thermoplastic composite TPC
China Bi-2223 high temperature superconducting wire/HTS wire: High Current Density Wire, Insulated Wire, Strengthened Wire, Low Thermal Conductivity Wire, HTS coils and magnets,HTS current lead manufacturer and supplier Hay:H07BJYN
Tesla will use HPDC technology to produce large aluminum alloy die castings for Model Y crossover rear body
US researchers develop graphene-reinforced carbon fiber composite materials, which will greatly reduce the weight and material cost of automobiles
China leading UNS N08367 Super austenitic corrosion-resistant stainless steel resistant to chloride ion pitting and crevice corrosion manufacturer and supplier Hay:A02ZJYX
China’s leading manufacturer of precision titanium alloy parts, titanium alloy tubes, and titanium metal plates and strips has developed “Titanium alloy thin-walled profile rapid pulse forging and shaping technology” Hay:A04BJTY
The aerospace-grade magnesium aluminum alloy developed by the Chinese master alloy manufacturer has been successfully used in the production of aerospace engine exhaust plugs, nozzle components and key components of fasteners Hay:A03HBST
China leading aluminum magnesium master alloys, high-end aluminum grain refiner manufacturer successfully developed rare earth aluminum alloy, rare earth magnesium alloy material Hay:A03HBST
The automatic casting and forging technology of large aluminum alloy structural parts developed by China’s leading precision aluminum alloy castings & forging parts manufacturers won the 2019 Municipal Science and Technology Award Hay:T03NBXS
China`s leading superalloy, ultra-high strength steel, stainless steel, tool and die steel, titanium alloy, alloy structural steel, high-speed steel manufacturer and supplier Hay:A04FSTG
The silver alloy wire produced by China’s leading silver alloy wire electrical contact material manufacturer can be used in plastic case circuit breakers, contactors, miniature circuit breakers… Hay:F04FDHJ
Chinese carbon fiber composite material manufacturer develops new carbon fiber wet spinning process to create high-end high-performance carbon fiber technology Hay:D02WHTZ
McLaren Composites Center delivers the first lightweight carbon fiber composite supercar chassis
Using carbon fiber reinforced plastic vacuum infusion process to make automobile carbon fiber composite material hood
Chinese precision auto parts manufacturer and Chinese automotive aluminum alloy precision die casting manufacturer Serbia established a joint venture plant to produce MEB battery pack die castings for Volkswagen Group Hay:T02SZYD
Engel and Fill jointly develop production lines for the production of thermoplastic composite blanks for the manufacture of semi-finished thermoplastic glass fiber and carbon fiber reinforced composite strips
China leading drill pipe, pipe body for OCTG manufacturer and supplier Hay:A02BHNK
China Paint Protection Film,Optical Protective Film,TPU Film, Fabric Composite Film, TPU Sheet (thickness) Glass Laminated Film, Special Protective Film manufacturer cooperates with Lubrizol ESTANE® TPU Hay:C04ZJKY
China leading Spherical Titanium Alloy Powder, Spherical High-temperature Alloy Powder, Spherical Cobalt-based Alloy Powder, Spherical Aluminum Alloy Powder, Stainless steel powder, Ti -Ta-Nb-Zr alloy powder Powder manufacturer and supplier Hay:H01HNDL
Antibacterial rate is greater than 99%, Chinese special steel manufacturers mass produce ferritic antibacterial stainless steel Hay:A02JGJT
China’s high-performance rare earth NdFeB permanent magnet material manufacturer accelerates 3C market layout Hay:A06JXJL
China leading Metal powder injection molding (MIM) product manufacturers build precision parts projects to expand MIM production capacity Hay:T05CZJY
China’s leading para-aramid fiber manufacturer successfully mass-produces aramid 1414 fiber Hay:D01ZHLX
Mitsubishi Chemical Corporation (MCC) and German AM Polymers GmbH jointly develop polybutylene terephthalate (PBT) powder products for industrial 3D printing
The continuous carbon fiber composite 3D printing technology of AREVO, the world’s leading carbon fiber composite material 3D printing manufacturer, will revolutionize carbon fiber manufacturing
Philips liquid-free helium magnetic resonance Ingenia Ambition passed NMPA certification
ThyssenKrupp invests US$200 million to build an automotive steering system manufacturing center in China
Graphene thermal film can greatly improve the heat dissipation performance of 5G devices, China’s high-end graphene thermal film,Graphite thermal paper manufacturer was acquired by Huawei Hay:F03CZFX
Chinese researchers prepared Hierarchically structured diamond composite with exceptional toughness
Vollebak launches a Graphene Jacket made of graphene nano-coating materials
Mitsubishi Chemical develops PAN-based carbon fiber with a diameter of 10 microns
Global leading Copper-Clad Laminates & Prepreg Materials for PCBs manufacturer and supplier Hay:I04USIS
China leading NdFeB,SmCo,Plastic injection Magnets,AlNiCo and Magnetic manufacturer`s rare earth permanent magnet alloy equip China’s 600km/h maglev train Hay:F05HZYC
Application cases of carbon fiber composite materials in the automotive field
Chinese AR photovoltaic coated glass manufacturer invests in 0.12mm ultra-thin touch glass production base Hay:B04ZGJC
The “Key Technology and Application of Precision Die Casting of Complex Aluminum Alloy Components” project of a manufacturer of aluminum silicon magnesium alloy precision die-casting parts won the provincial technical innovation award
China Carbon Fiber Composites Technology Corporation Makes Breakthrough in M65J Grade High Strength and High Modulus Carbon Fiber Technology Hay:D02NBCL
China leading graphene aluminum alloy wire rod manufacturer successfully developed high strength and toughness aluminum alloy Hay:A03XJZH
China leading deformed superalloys, cast superalloy manufacturer invest in the construction of ultra-pure high-performance superalloy material and Large complex thin-wall superalloy structural parts production base Hay:A04JSTN
German researchers tailor-made Fe19Ni5Ti (wt%) alloy for laser additive manufacturing, 1.3GPa strength, 10% elongation
Global leading InP/CdTe Single Crystal Wafers, ZnTe Single Crystal Wafers manufacturer and supplier Hay:I01JPJX
Strata and Solvay have completed the construction of a joint venture of carbon fiber prepreg
The carbon fiber prepreg market will reach US$2.6 billion in 2025
Chinese polyurethane material manufacturer and BASF develop Elastopir® polyurethane insulation composite sheet Hay:F03SHZM
China’s leading manufacturer of high-performance fiber spandex meta-aramid and para-aramid fiber Hay:D01YTTH
Greatly improve the thermoelectric conversion efficiency! Chinese researchers have made important progress in the field of n-type Bi2Te3-based thermoelectric materials
Henkel Electronic Materials presents innovative solutions at SEMICON China 2020
China leading superfine electronic glass yarn, electronic grade glass fiber cloth, electronic grade glass fiber manufacturer and epoxy resin clad laminate manufacturer jointly develop 5G low dielectric ultra thin electronic glass fiber cloth Hay:D01HNGY
Fiber‐Reinforced Viscoelastomers Show Extraordinary Crack Resistance That Exceeds Metals
Application prospects of graphene
LANXESS: The new Durethan ECO polyamide product line uses recycled glass fibers
Laser additively manufactured intensive dual-phase steels and their microstructures, properties and corrosion resistance
Evonik’s VESTALITE S system epoxy SMC components, unleashing automotive lightweight potential
China top high frequency high speed copper clad laminate/CCL, prepreg, insulation boards, metal-based CCL, resin-coated copper (RCC) and coverlay materials manufacturer and supplier Hay:I04DGSY
Chinese high-precision optical lens,infrared lens, machine vision lens manufacturer are catching up with Europe’s top optical technology giants Hay:F06FJFG
Harvard University has developed a high-strength nanofiber material with heat resistance 20 times better than Kevlar
China`s leading Organic silicone, nano-adhesive, glass strengthener manufacturer and Dow Corning jointly develop Edge fortified glue/nano-edge reinforced adhesive
China`s leading aluminum sheet/plate,strips,aluminum foil manufacturer`s aluminum mirror sheet/aluminum Mirror Trim new production line officially put into production Hay:A03LYWJ
DuPont Teijin Advanced Papers Ltd has developed a composite board composed of carbon fiber reinforced meta-aramid paper and thermoplastic resin
The 450mm semiconductor grade single crystal silicon rod successfully commercialization produced in China Hay:I01SZXM
China Ultra-precision parts of lithography machine, ultra-precision motion system manufacturer successfully IPO Hay:T09BJHZ
China leading lithium battery copper foil, shielding copper foil, HVLP: Hyper Very Low Profile copper foils manufacturer has already had 4 μm, 4.5 μm copper foil mass production capacity Hay:A03NDTB
Chinese polymer material manufacturer invests in 60,000-ton PBAT biodegradable plastics project Hay:C03SDRF
Continuous fiber-reinforced composites 3D printing company Arris Composites received $48.5 million in Series B financing
ABB provided solutions to two-roller cold-rolled stainless steel strip reversible smoothing unit
Sculpteo and BASF announce the provision of new 3D printing materials for automotive customers: polyamide 6 (PA6), polypropylene and thermoplastic polyurethane (TPU) materials
Typical application areas of basalt fiber
China leading conductive thermal conductive foam, conductive thermal conductive film, heat storage sheet, new thermal insulation sheet, EMI/ESD material manufacturer and supplier Hay:F03DGHY
Application of non-cyanide gold plating process on titanium alloy
The graphene knee pads developed by China Graphene Heating Film Technology Co., Ltd. showed a clinical efficiency of 88.7% Hay:H02SZXW
Chinese special glass manufacturer successfully developed nano smart glass, the color of glass can change with the weather
China leading Copper alloy condenser tube, Copper-nickel alloy large-size thick-walled tubes and bars, Large (extra large) caliber copper-nickel alloy tubes, copper tubes, Soft round copper wire manufacturer and supplier Hay:A03GSJC
High performance ally for aeroengine and gas turbine
China leading strontium and strontium aluminum alloy,Intermediate alloy:aluminum titanium alloy (AlTi10), aluminum manganese alloy (AlMn10), aluminum copper alloy (AlCu50), aluminum titanium boron manufacturer and supplier Hay:A03CQGL
Covestro launches a new generation of high-performance flame-retardant PC/ABS alloy (Bayblend® FR3045 EV)
Are Metal Foils the Future of Lithium Battery Anodes
China spandex polyurethane elastic fiber, meta-aramid, para-aramid manufacturer launches dope colored meta-aramid fiber Hay:D01YTTH
Low Tortuous, Highly Conductive, and High-Areal-Capacity Battery Electrodes Enabled by Through-thickness Aligned Carbon Fiber Framework
The way to reduce weight and cost of offshore wind power blades: boldly adopt new technology and new materials
China precision die cutting products, foam protective film tape, insulation heat conduction products, EMI shielding products, sewing and high frequency earmuffs, headbands manufacturer successful IPOHay:F01DGDR
China leading 3D glass,liquid crystal glass substrate, Anti-glare (AG) glass,high alumina glass manufacturer successfully mass-produced low-temperature polysilicon LTPS: Low Temperature Poly-silico glass substrate Hay:B04HBDX
ROHM and China New Energy Automotive Power Systems Corporation established a joint laboratory for silicon carbide technology
High-purity germanium dioxide produced by a Chinese germanium dioxide manufacturer is mainly used in the fields of infrared, superconductivity, military industry, light materials Hay:B08SCSH
Highly integrated semiconductor substrate and electronic device packaging ideal material: aluminum nitride ceramic
High-temperature alloy precision casting and forging parts manufacturer successfully developed ultra-pure melting process and investment casting new materials and new technology Hay:A04JSTN
Some new application fields of carbon fiber composite materials
Showa Denko launches moisture-resistant aluminum nitride thermally conductive filler and plans to mass produce it by 2023
Porsche develops 3D printed engine pistons and establishes milestone for additive manufacturing of high-stress drive components
Solvay’s Radel® polyphenylsulfone PPSU, plastic instead of metal provides more solutions for medical treatment
HAYDALE cooperates with IRPC to develop graphene coated fabrics
Stratasy Nylon 12CF carbon fiber material, one of the materials with the best weight-to-strength ratio, is rapidly becoming the ideal solution for metal replacement, rugged clamping and end-use parts
China high-purity molybdenum and molybdenum alloy,copper, titanium and tungsten sputtering target manufacturers develop a new generation of high-end high-purity molybdenum targets to help localize LCD panelsHay:A01LYSF
China precision copper alloy wire and rod manufacturer build copper-silver alloy and copper-tin alloy contact wire production base Hay:A03SZZW
China’s leading silicon carbide wafer manufacturer Successful IPO Hay:I01BJTK
Chinese leading graphene technology company’s graphene thermal film products have been applied on Huawei mobile phones Hay:F03SZSR
Victrex, the world leader in PEEK and PAEK, launches VICTREX AM PAEK wire optimized for additive manufacturing
Lamborghini uses thermal shape memory alloy materials to improve the thermal performance of the car under different conditions
China’s ultra-high-power graphite electrode and high-power graphite electrode manufacturer invested graphene thermal film material project realizes commercial production Hay:F03HNPM
Smart semiliquid metal fibers with designed mechanical properties for room temperature stimulus response and liquid welding
China`s high-temperature alloys,titanium alloys,high-purity steels, and ultra-high-strength steels manufacturer successfully produced super-sized 300M forgings Hay:T03LNFS
The Copper-clad Aluminum (CCA) Bimetal Busbar prefered supplier ABB,Siemens and Schneider Hay:D04YTFX
Global leading Carbon Brushes,Resin-Impregnated Graphite,Furnace Electrode Materials manufacturer and supplier Hay:B06JPDH
China leading superalloy,High Temperature Alloy,corrosion resistant alloy,precision alloy and titanium alloy,Nickel Alloys,MONEL Alloys,INCONEL Alloys,Hastelloy Alloys,INCOLOY Alloys,NIMONIC Alloys,NILO Alloys manufacturer and supplier Hay:A04SHKS
Corning launches Corning® Gorilla® Glass Victus™, the toughest Gorilla Glass yet to remain intact after a 2-meter drop
China leading Lightweight composite materials:sandwich material/sandwich structure composite board,Vacuum auxiliary material, Strong core felt,PET foam manufacturer and supplier D02SHYK
Chinese diamond cutting wire/Diamond Wire Sawing manufacturer successfully mass-produced 47μm diamond cutting wire Hay:B06NJSC
China’s seamless stainless steel pipe and seamless carbon steel pipe, special seamless steel pipe: oil well pipe, boiler pipe, bearing pipe, automobile axle casing market competition pattern
China’s leading polymer materials technology group and Kraton cooperate to develop INJECTION MOLDED SOFT SKIN (IMSS) TPE for for automotive interiors Hay:C03SDDE
The perfluorinated ion exchange resin used in perfluorinated ion exchange membranes developed by China Fluorosilicon Material Technology Group and its preparation won the China Patent Gold Award Hay:N02SDDY
The high-performance magnesium-lithium alloy developed by China Light Alloy Material Technology Company is used in the China Mars Rover Project Hay:A03HNXJ
China`s extra-large titanium alloys, high-temperature alloys, and ultra-high-strength metal forgings leading manufacturer successfully extruded large-diameter thick-walled titanium alloy seamless pipes Hay:A04SYZT
China Permanent Stainless Steel Cathode Plate,Copper Clad Stainless Steel Cathode Plate,Copper Sheathed in Stainless,Bipolar 4 Contacts Capping Board Leading Manufacturer and Supplier Hay:F04ZJSM
Naniwa Pump Manufacturing has developed an ultra-light marine pump made of CFRP (carbon fiber reinforced composite material)
China’s leading Fine Metal Mask (FMM) manufacturer’s new production line successfully started commercial production Hay: I03NBHC
Chinese polite manufacturer and BASF jointly launch high-end lastoflex® polyurethane passenger car seats
Chinese high-purity tantalum material manufacturer successfully entered the supply chain of China’s High Purity tantalum sputtering targets manufacturer Hay:A01NXDF
China’s leading manufacturer of amorphous/nanocrystalline strips and refractory materials provided bulk tungsten copper composite parts for the upper divertor for China’s “artificial sun” EAST large scientific engineering device Hay:D04BJAT
Chinese top manufacturer of hydro,thermal,nuclear,wind,solar and combine-cycle generating unit has mastered hydrogen fuel cell membrane electrode and stack technology Hay:N01SCDF
China Leading HIT silver paste,front electrode silver paste,crystalline silicon solar front electrode silver paste manufacturer launched HJT low temperature silver paste Hay:I02SZJY
high-strength titanium alloy Ti–3Al–8V–6Cr–4Mo–4Zr alloy
China leading high-temperature alloy materials, nickel-based corrosion-resistant alloy,austenitic valve steel and new austenitic heat-resistant steel,duplex stainless steel bar and wire manufacturer and supplier Hay:A02YXTG
Saudi Aramco and Aachen University of Technology in Germany jointly research carbon fiber to reduce manufacturing costs
Lehvoss and Forward Engineering launch a 3D printing technology cooperation for composite materials for automotive structures
China leading Tungsten Copper Alloy Material Conductive Clip,Silver-based alloy material,Copper tungsten alloy contact manufacturer and supplier Hay:F04XAFL
The world’s first magnesium alloy subframe mold produced by a magnesium alloy high-vacuum key structural part mold manufacturer is delivered to Dongfeng Motor Hay:T10NBTZ
The world`s leading titanium alloy manufacturer has developed automotive titanium alloys and parts: titanium alloys exhaust mufflers and engine valves,titanium alloy wires Hay:A04JPKB
The 2219 aluminum alloy: aluminum-copper-manganese-titanium-vanadium-zirconium series alloy developed by China’s aerospace aluminum alloy:7055,7085… aluminum alloy manufacturer helps China advance to the aerospace powerhouse Hay:A03ZGLY
China`s leading lithium battery manufacturer CATL becomes the prefered supplier of Mercedes-Benz for lithium battery
China’s leading manufacturer and supplier of precision stamping parts and precision metal parts Hay:T01SZRM
The production base of carbon fiber prepreg resin for high-end composite material epoxy resin and prepreg resin manufacturer officially launched Hay:D11SHHB
China’s leading manufacturer of high-temperature alloys, titanium alloys, aluminum alloys, magnesium alloy ring forgings, free forgings, and precision die forgings successfully IPO Hay:T03WXPK
Covestro joins hands with Chinese wind turbine blade manufacturer to develop the world’s first 64.2m all-polyurethane wind blade
Ultra-high-strength aluminum-lithium alloys Hay:A03ZZQY
China’s leading manufacturer of precision optical components, high-end optical lenses and advanced optical systems successfully IPO Hay:F06NJML
China Metal Matrix Composites Technology Corporation Provides High Performance SiC-reinforced Aluminum Matrix Composites for China Beidou GPS and Mars Rover Hay:D04SHJD
Arkema invests in continuous fiber composite 3D printing company: Continuous Composites
Mergers and acquisitions in the field of wet-process lithium battery separators have resumed! China’s leading lithium battery separator manufacturer SHEJ intends to bid for 54.76% equity in CQNM lithium battery separator technology Hay:N02SHEJ
Non-oriented silicon steel, hot-rolled yoke steel and other products produced by China’s leading ultra-low iron loss oriented silicon steel manufacturer rank first in the world in the field of large hydroelectric generator sets Hay:F05SHBG
Chinese researchers invented sintered non-shrinking high-strength foam ceramics
China’s leading carbon fiber composite material technology company was invited to join the China Wind Turbine Blade Carbon Fiber Application Alliance Hay:D02JSAS
China’s first liquid metal sodium-supercritical carbon dioxide printed circuit heat exchangers (PCHEs) made a breakthrough
CPI produced by China’s leading manufacturer of High temperature resistant colorless polyimide film/CPI has been supplied to flexible display terminal companies Hay:C04SZXL
Ultra-high-strength aluminum-lithium alloys Hay:A03ZZQY
China’s leading manufacturer and supplier of NdFeB alloy strips Hay:A06AHBG
Chinese researchers have successfully developed Polymer/molecular semiconductor all-organic composites for high-temperature dielectric energy storage
Graphene aerogel supports nanoparticles to achieve high-performance organic sulfur/high-pressure CO2 adsorption
China’s rare earth NdFeB permanent magnet material manufacturer successfully developed NdFeB anticorrosive coating material: high-entropy alloy target/Al-Mn alloy target Hay:A06ZKBT
Chinese leading semiconductor encapsulation lead frames:Stamping Frame,Etching Frame and LED Frame manufacturer Hay:I04NBKQ
China’s special sound absorbing materials, sound insulation, and vibration damping materials, manufacturer and materials scientific research institution set up joint venture Hay:F03SHAN
China leading Solar conductive front silver paste, EMI shielding conductive paint and electronic paste manufacturer and supplier Hay:I02SHKY
The neutral borosilicate medicinal glass project invested by China’s leading medical glass, photovoltaic glass, and ultra-thin electronic glass manufacturers successfully put into production Hay:F08ZZQB
The 5,000-ton sponge zirconium production line invested by manufacturer of industrial-grade zirconium sponge and zirconium tetrachloride was completed and put into operation Hay:A01LNHG
China’s leading precision aluminum sheet and aluminum foil manufacturer’s new high-end mirror aluminum sheet production line for automobiles was put into operation Hay:A03LYWJ
China’s leading fine copper wire and precision copper strip manufacturer invests in the construction of nano copper powder project Hay:A03SZZW
China’s leading polyimide film/PI film for FPC/FCCL substrate manufacturer will build 6 new PI film production lines Hay:C04AHGF
China’s leading mobile phone glass cover and precision metal frame manufacturer spent 9.9 billion to acquire Apple’s iPhone and MacBook aluminum-magnesium metal precision structural parts supplier Hay:T02HNLS
The leading manufacturer of high-grade copper clad laminates, core boards and prepreg for multilayer printed circuit boards which certified by Huawei successfully IPO Hay:I04SHNY
Chinese researchers have successfully designed a multi-level nanostructured magnesium alloy, greatly improving the strength and plasticity of magnesium alloys
In order to better serve Samsung and Intel,Korea Wonik Quartz/QnC International builds a new semiconductor new material component project in China
China’s leading manufacturer of precision structural parts for 3C electronic consumer goods,Medical Equipment parts,Auto parts Hay:T01JSKS
Polymaker, a 3D printing material manufacturer, released a new 3D printing pellet product line PolyCore series at TCT Asia
China’s leading manufacturer of small-diameter seamless steel pipes, alloy steel,stainless steel and carbon steel,Stainless steel-carbon steel composite pipe,Rifled tubes successfully IPO Hay:A02CZSD
China’s leading manufacturer of Metal soft magnetic powder core and Metal soft magnetic powder builds a new metal soft magnetic powder production line and puts it into operation Hay:F05GDRG
Types of degradable plastics: PLA, PHA, PGA, PBSA, PBAT, PCL…
Chinese researchers have made important progress in the study of black phosphorene nanobelts
China`s leading HDI PCB,multilayer PCB,rigid-flex/RF PCB,heavy copper PCB,medal based PCB,high frequency PCB,flex PCB manufacturer and supplier Hay:I04SZMY
The precision aluminum alloy die casting project invested by China’s leading high-precision zinc alloy/aluminum alloy die-casting manufacturer is put into production Hay:T02GDHY
China’s leading titanium alloy,superalloy,refractory metal 3D printing metal powder materials and equipment manufacturer has broken through the key technology of arc printing for large structures of high-strength aluminum alloy Hay:H01NJZK
Teijin carbon fiber is used in the world’s first laptop-sized autonomous pedestrian WALKCAR
Japanese researchers have developed a new type of iron-based superelastic alloy that can deform and restore shape at extreme temperatures
China’s leading manufacturer of ultra-thin electronic product steel and ultra-thin battery connection sheet steel developed and successfully delivered Ultra-thin,high-strength and high-hardness steel for automotive brake silent pads Hay:A02BJSG
Carbon nanotube fiber strength surpasses Kevlar, conductivity breaks 10 MS/m for the first time
China`s leading Cemented Carbide,Tungsten Carbide Powder,Tungsten Metal Powder,Blue Tungsten Oxide,Tungsten Trioxide manufacturer and supplier Hay:A04GDXL
Chinese leading manufacturer of adhesives,insulators, buffer, shielding,shelter from light,heat dissipation,electric conductors and optical film,touch panel,window protective glass,precision metal parts Hay:F05SZAJ
Chinese leading manufacturer and supplier of Nitinol wire,Nitinol tube and Nitinol components,TiNi shape memory alloy for medical industry Hay:A04JSFE
Chinese leading manufacturer of precision machined and precision stamped parts
The world’s largest micron electroplated diamond wire for cutting of photovoltaic crystalline silicon, crystal, magnetic materials,sapphire and other hard and brittle materials manufacturers successfully IPO Hay:B06SXYL
Chinese leading manufacturer of Polytetrafluoroethylene (PTFE) fiber,polytetrafluoroethylene microporous (ePTFE) membrane,PTFE seals,PTFE medical material Hay:F07SHJY
China’s leading high-dispersion silica manufacturer successfully IPO, and plans to raise 470 million yuan to build projects with an annual output of 75,000 tons of high-dispersion silica Hay:B04JSQC
Chinese leading Honeycomb composite material manufacturer and supplier Hay:D02GZHL
China’s largest special steel, high-end special steel manufacturer expands production capacity of oil pipes, engineering pipes, ultra-high strength steel pipes and high-grade pipeline steel Hay:A02ZXTG
The beryllium copper alloy developed by the only manufacturer of high end free machining Copper Beryllium Rod and Wire (UNS. C17300/AM173) andAlBe Alloy in China won the Excellence Award in the China New Material Innovation Competition Hay:A03ZZAM
Honeywell’s 3D printed aircraft engine key components obtain FAA certification
High performance aluminum nitride/(AlN) ceramics
China’s leading automotive powder metallurgy products and precision powder metallurgy structural parts manufacturer successfully IPO Hay:T05YZHC
Chinese researchers have made a series of progress in the control of the composition and molecular structure of the solid silicon carbide/SiC precursor
Global leading manufacturer of Cold rolled steel strip,Hardened and tempered steel strip,High-strength steel strip,Surface-coated steel strip,Profiles and shaped wire,Electrical steel strip,Flat wire products,Stainless precision steel strip Hay:A02DEWE
Chinese manufacturers through technological innovation, the production of metal 3D printing spherical titanium powder cost greatly reduced by 50% Hay:H01ZHMT
China’s leading high-performance lithium-ion battery isolation membrane manufacturer’s revenue in the first half of the year was 1.441 billion yuan, and the shipment of wet process battery separators exceeded 400 million square meters Hay:N02SHEJ
The new generation of high-capacity La-Y-Ni series hydrogen storage alloy materials developed by China Rare Earth Alloy Materials Technology Company enters the pilot test stage Hay:F05BGXT
China leading manufacturer of Bimetal Material,Electrical Contacts Material,Noble/Base Clad Metal,Battery Clad Metal,Ag-Cu For Micro Motors,High-precision ultra-thin metal strip,Special Clad Metals Hay:F04FSTB
CRRC developed China’s first high-power marine permanent magnet generator
Chinese leading manufcturer of Thermal Transfer Ribbons,BOPET Heat Sealable Film,BOPET High Transparent Film,EVA solar photovoltaic battery encapsulation film,Lithium-ion battery membrane,BOPP capacitor film,PP film(Casting polypropylene film) Hay:C04ZJDD
Chinese leading manufacturer of continuous basalt fibers,chopped roving,untwisted yarn,textile yarn,fireproof cloth,geogrid,basalt fibers reinforced composite rebar Hay:D01JSTL
Application of foam aluminum material in decoration field
China Graphene Technology Corporation builds graphene conductive ink production line Hay:H02HLBT
Chinese leading manufacturer of ultra fine wire and Halogen free cable Hay:F04ZJXY
US new energy start-up company NDB completes proof-of-concept test of nano-diamond battery
China’s only Ti45Nb titanium alloy material, NbTi, Nb3Sn superconducting wire and leading high-temperature alloy material manufacturer releases the first half of 2020 financial report Hay:A04XAXB
China’s leading manufacturer of mono (poly) silicon wafer cutting wire,steel cord,prestressed PC steel strand,wire rope,tire wire Hay:A02HBFX
Solvay: Alve-One® blowing agent makes plastic foam more environmentally friendly and safer
The global thermoplastic composites market will reach 31.8 billion U.S. dollars in 2025
China’s leading carbon steel, alloy steel, and stainless steel seamless steel pipe manufacturer successfully IPO, will expand the production capacity of stainless steel and alloy steel seamless steel pipes for special equipment Hay:A02CZSD
China’s largest CVD graphene manufacturer builds the world’s largest production base for CVD graphene transparent conductive film Hay:F04WXGF
Japan Composite acquires DIC Kako SMC business to expand production to meet automotive demand
The development trend of the copper alloy market
Russian composite material manufacturer POLYPLASTIC has developed a new type of nanocomposite polymer material Armamid using TUBALL™ graphene nanotubes synthesized by OCSiAl
Chinese company has successfully developed ultra-high conductivity copper materials: metallic copper + graphene composite conductive materials Hay:F04ZGZC
Chinese leading manufacturer of PVC/PMI structural foam,Shock absorption fasteners,Synthetic sleeper Hay:D02LYKB
The ultra-thin stainless steel precision foils with a thickness of only 0.02 mm produced by Chinese leading precision stainless steel strip manufacturer have been exported to developed markets such as Germany and Japan Hay:A02TYGT
The 5G industry accelerates, and the demand for polytetrafluoroethylene (PTFE) will surge
The Porous titanium gas diffusion layer material for fuel cells developed by China’s leading mMetal bipolar plate and amorphous/nanocrystalline strip manufacturers has been stably supplied to the international market in batches Hay:N01BJAT
China’s leading manufacturer of ultra-high-purity copper,high-purity nickel,high-purity cobalt,platinum group noble metals,nickel and nickel-based alloy wires,plates,Superfine cobalt powder Hay:A01LZJC
China’s leading manufacturer super austenitic stainless steel, duplex stainless steel, nickel-based alloys, large-diameter super austenitic stainless steel seamless pipes has entered the field of nuclear power for more than 10 years Hay:A02YXTG
China leading manufacturer of INTERNAL PLASTIC COATING drilling tools,drill pipe,HWDP,drill collar,Anti-H2S drill pipe,low temperature drill pipe, high torque tool joint, upset pipe body Successful IPO Hay:T10SDWM
China`s leading nano-silica aerogel and aerogel powder manufacturer cooperate with scientific research institutions in ceramic aerogels Hay:F03SXYQ
Chinese leading manufacturer of lightweight carbon fiber/glass fiber composite materials and parts for automotive components,energy storage system (battery box, carbon fiber press vessel),rail transit, large UAVs and petroleum equipment market Hay:D02HZKL
High-performance brake material: carbon ceramic (C/SiC) brake material
Rolls-Royce develops 3D printed gas turbine plate-shaped structural parts with air cooling holes
China leading manufacturer of high-performance Engineering Plastics: polysulfone resins of PPSU,PSU and PES Hay:C02GDYJ
TRUMPF Laser brings 3D printing and marking solutions to the China Medical Device Design and Manufacturing Exhibition: Medtec
China’s leading high-end stainless steel 316H for nuclear power, high-purity stainless steel manufacturer wins the high-strength, seawater-resistant duplex stainless steel order from China’s largest desalination projects Hay:A02TGJT
OTI Lumionics has received a new strategic investment from LG Technology Ventures, which will accelerate the mass production of OTI materials, including its ConducTorrTM cathode pattern material CPM for under-screen cameras
The “Rare Earth Supramolecular Sensing Material Industry Technology” developed by China’s leading rare earth metal material manufacturer received government project funding support Hay:A06BFXT
Arkema appears at the 2020 China International Composites Exhibition and will exhibit advanced composite materials such as Elium® resin, Kepstan® PEKK resin, Orgasol® polyamide powder, Luperox® organic peroxide, etc.
Chinese leading manufacturer of Fused Silica Ceramic Crucible for solar energy industry,corundum and brown corundum porous Ceramic filter plate Hay:B04YTHJ
CompoTech launches carbon fiber epoxy resin beam product series
The “large helix angle seamless internal thread copper tube technology” developed by China’s leading precision copper tube and precision electrolytic copper foil manufacturer won the second prize of provincial science and technology Hay:A03JXJT
The tantalum wire and nickel alloy strip supplier Hay:A03ZGYS
0.015mm ultra-thin stainless steel foil supplier Hay:A05SXTG
Alcoa Australia and FYI Resources Australia signed a cooperation agreement to develop high-purity alumina (HPA) refining technology to accelerate the commercialization of HPA projects
Large-scale and Complex Ceramic Matrix Composite Heat Insulation Components won the award Hay:D05XAXY
The high-performance fiber testing platform of the carbon fiber team of China NB Materials Institute successfully passed the CNAS on-site review
Chinese rare earth alloy material manufacturer successfully developed high-capacity La-Y-Ni hydrogen storage alloy material Hay:A06BFXT
American Excelsior company invests in flexible polyurethane foam production line
Development status of China and Global Fine Metal Mask (FMM)
China’s leading manufacturer of aluminum,magnesium grain refiner and aluminum-magnesium-titanium alloy Hay:A03SZXX
Global Leading Manufacturer of Silicon Nitride (Si3N4), Tungsten Carbide (WC), Oxide Bonded Silicon Carbide, Alumina Bonded Silicon Carbide, Nitride Bonded Silicon Carbide Hay:B01USBP
AGC and NTT develop 5G glass antenna
China`s leading manufacturer of High thermal conductivity graphite heat dissipation material:synthetic graphite heat sink, synthetic graphite film, thermal silica gel, thermal gel, EMI/EMS material, PCBA waterproof material Hay:F03GDSQ
EconCore, Toray and Bostik jointly develop FST-certified thermoplastic honeycomb panels
Mafic USA and TMG Materials Group promote the use of basalt fiber in the automotive industry
Chinese leading manufcturer of high-strength and high conductivity chromium zirconium copper alloy, high-wear-resistant bronze alloy, high plasticity brass alloy, high strength and high corrosion resistance Nickel Silver alloy Hay:F04NBBW
JSR, One of global leading photoresist manufacturers will close its Taiwan factory and withdraw from some LCD materials market
Chinese leading manufacturer of Ultra-thin nanocrystalline soft magnetic alloy strip, ultra-thin ultra-wide nanocrystalline material and ultra-thin amorphous strip Hay:F05AHZC
China’s leading manufacturer of special engineering plastic polyarylethersulfone: polyphenylsulfone/PPSU, polysulfone/PSU, polyethersulfone/PES started construction of engineering plastic polyetherimide/PEI project Hay:C02GDYJ
Global and Chinese PEEK industry development, application areas and demand analysis
China’s leading manufacturer of styrene-based thermoplastic elastomer/TPES: SEBS and SEPS successfully IPO Hay:C03NBCH
Chinese leading manufacturer of precision nickel-based alloy belts, nickel-aluminum composite belts, non-ferrous metal precision alloy materials, precision nickel alloy belts, foils, non-ferrous metal composite materials Hay:A04JSYH
Global and Chinese electrical contact materials: copper-based special alloy electrical contact materials, particle and fiber reinforced electrical contact functional composite materials, thermal bimetal composite material industry development
Application fields of high-performance basalt fiber materials and composite materials
Chinese leading manufacturer of High precision tin phosphor bronze strip,zinc-nickel alloy strip,brass strip,copper strip,Frame/lead copper alloy,High copper alloy,Copper tin zinc alloy,Tinned Copper StripHay:A03NBXY
China’s leading manufacturer of precision CIM/MIM parts and components with low alloy steel, stainless steel and heat-resistant steel, soft magnetic alloy, titanium alloy,tool steel Hay:T05HKYL
The supplier for Apple`s precision MIM structural parts, Chinese leading manufacturer of Precision Ceramic Injection Moulding (CIM), Metal injection moulding (MIM) complex-shaped parts & components Hay:T05SZXD
Chinese leading manufacturer of Stainless steel Bar,Stainless steel seamless bright tube/pipe,Stainless steel seamless U-pipe/thick wall tube/thin wall tube Hay:A02ZJHD
Chinese leading manufacturer of Offshore Wind Power Tower, Onshore Wind Turbines, large metal structural parts Hay:T03QDTN
Application of Carbon Fibre Reinforced Polymers: CFRP in Offshore Oil Field
China’s leading para-aramid fiber, para-aramid paper and para-aramid nanofiber manufacturer Hay:D01SDJF
China’s only ferritic antibacterial stainless steel manufacturer successfully developed high-end razor steel: martensitic stainless steel Hay:A02JGJT
Chinese leading manufacturer of Water-proof Thin Fiberglass Mat,Veneer Thin Fiberglass Mat,Nano Heat Insulating Thin Fiberglass Mat(C-GF),Insulating thin Fiberglass mat with reinforced substrate (C-PI),Decorating Reinforced Thin Fiberglass Mat Hay:D02CZZF
Chinese leading manufacturer of PVDC higher barrier shrink film, PA, PE, PVDC, EVA multilayer co-extruded film, heat shrinkable film Newly established PVDC higher barrier shrink film production base Hay:F08SZTJ
China’s leading manufacturer of high-performance rare earth NdFeB permanent magnet material, rare earth NdFeB alloy sheet, and permanent magnet motor manufacturer has successively obtained orders from Bosch, Tesla and GM Hay:F05JXJL
China’s leading manufacturer of aerospace carbon fiber composite structural parts, gas turbine blade precision processing, and aerospace parts precision processing manufacturers build a new aerospace composite component production base Hay:D02CZYX
Chinese manufacturers of high-performance NdFeB permanent magnet materials and high-performance samarium-cobalt permanent magnet materials successfully IPO Hay:F05BTTH
Heraeus showcases AgCoat Prime bonding gold-plated silver wire for semiconductor memory devices
BASF cooperates with Casio to use Ultramid Advanced N flame-retardant PPA material for smart watches
Researchers from KIT and Heidelberg University in Germany have developed photoresists for two-photon micro-printing, which can realize 3D micro-printing of nanoporous structures
China provided the first batch of coils and key components of the French WEST device divertor for the world’s largest artificial solar project: ITER program calibration site of the International Thermonuclear Experimental Reactor
“Complete technology for development and application of high-performance low-alloy corrosion-resistant steel series” won the Beijing Science and Technology Innovation Award Hay:A02NJGT
Chinese leading manufacturer of electronic functional materials and parts:insulators,buffer,shielding,shelter from light,heat dissipation,electric conductors and optical film,touch panel,window protective glass,precision metal parts Hay:F03SZAJ
Huawei’s only tin material supplier provides TE Connectivity with BGA solder balls Hay:A01YNXY
China’s precision copper alloy manufacturer produces ultra-large and ultra-thick copper-nickel alloy pipes for French shipbuilding and ocean engineering project Hay:A03GSJC
Alcoa launches the industry’s first metallurgical grade low-carbon alumina product: Eco Source
Hemlock Semiconductor Corporation acquired DuPont’s trichlorosilane (TCS) business
KB-GROUP produces the world’s first speaker using graphene nanotubes
COMAC and GKN Aerospace establish a joint venture to produce civil aviation metal and composite parts
Global leading manufacturer of Seamless hot-rolled steel pipes, special-purpose pipes, petroleum oil well pipes, oil and gas chemical pipes, boiler and high-pressure air vessel pipes Hay:A02AHTD
NASA and Fabrisonic cooperate to use Ultrasonic Additive Manufacturing (UAM) technology to produce corrosion-resistant coatings: amorphous alloys are combined into a multi-metal cladding
Chinese leading manufacturer of Amorphous Nanocrystalline Soft Magnetic: Amorphous Ribbon, Nanocrystalline Ribbon, CMC Core, CT Core for Smart Metering Core for MagAmp, Core for RCCB, Power Transformer Core, PFC Cores Hay:F05GZJC
Japanese researchers have developed Sub-nanoparticles: SNPs preparation method to prepare stable and efficient catalysts
Chinese leading mechanical seals manufacturer break the monopoly of international mechanical seal giants: John Crane, Eagle Burgmann, FLOWSERVE in the field of dry gas mechanical seals Hay:U07SCZM
Toray carbon fiber to increase production by 50% for fuel cell vehicles
Chinese leading manufacturer of Hydraulic breaker,Demolition Robot, hydraulic breaking & demolishing attachment and equipment Hay:Y05AHJT
China’s leading metal matrix composite material manufacturer provides SiC particle reinforced aluminum matrix composite structural parts for China’s aerospace and aviation industries Hay:D04SHJD
China’s leading aramid fiber and honeycomb aramid paper manufacturer released high-strength electrical insulation aramid paper Hay:D01JXLB
Leading the trend of sheet metal processing, TRUMPF upgrades to higher and faster goals
Aledia S.A. develops gallium nitride nanowire 3D LED technology, and plans to mass produce in 2022
Tungsten alloy shield structural parts for gamma knife
China’s leading manufacturer of high-performance ceramic wave-transmitting materials, ceramic anti-insulation materials, and continuous boron nitride fibers released aerogel composite materials Hay:F03SDTC
China’s leading manufacturer of etching metal Lead Frame,contact, contactless, dual interface, gold-plated, palladium-plated IC card packaging frames Hay:I04SDXH
The ESTIA-Compositadour project team develops aerospace thermoplastic composites with complex geometries
Chinese leading manufacturer of Microcrystalline wear-resistant alumina,ALUMINA MICROBEADS,ALUMINA CYLINDER TUBE,MIDDLE ALUMINA BALLS,ZIRCONIA BEADS,WEAR-RESISANCE ALUMINA TILE/LINER Hay:B01ZCDH
China’s leading ultra-thin electronic glass, TFT-LCD glass substrate manufacturer successfully developed 30 micron Ultra thin glass (UTG) Hay:B04AHBL
China’s leading manufacturer of carbon fiber,glass fiber,KEVLAR fiber reinforced composite structural parts for aviation and aerospace and industrial fields Hay:D02HEFT
Chinese leading manufacturer of Bracket,Hub,Wheel frame,Differential,Bearing seat,Bearing sleeve for Volvo, Caterpillar, Doosan, Komatsu construction machinery Hay:T02SDHG
Global bioplastics manufacturer NatureWorks plans to improve Ingeo PLA biomaterials
Chinese leading manufacturer of Large forgings and castings,ship crankshafts of MAN and WARTSILA,Core Components for Wind Turbines Hay:T03DLZG
Adidas latest long-distance running shoes adizero adios Pro: Two-layer composite midsole “sandwich” 5 carbon fiber composite support strips
The large precision die forging supplier of Liebherr and Safran successfully manufactured key titanium alloy forgings for C919 Hay:T03ZGEZ
ACS Nano: Integrated wafer-level growth of single crystal metal thin films and high-quality graphene
Merck’s Millipore Express membrane material processing plant in Germany completed
“Graphene conductive composite powder” developed by China’s leading nano-scale graphene material, graphene heavy-duty anti-corrosion coating, graphene conductive coating manufacturer, won the China Material Innovation Award Hay:H02SXYY
China’s leading manufacturer of ductile iron pipes and special alloy seamless steel pipes wins Kuwait UAH project order Hay:T02HBXX
Chinese researchers develop new miniature single-layer organic semiconductors to lay the foundation for the development of future flexible new electronic products
Chinese precision casting and large forging companies realize high-end transformation in high value-added fields such as aviation and gas turbines
The development path of aerospace forging giants Precision Castparts Corp. and Spirit
ExxonMobil launches a new generation of high-loft soft nonwoven solutions for sanitary applications
Chinese researchers have made important progress in the mechanism of the memory effect of amorphous alloys
Chinese leading Manufacturer of high-performance stainless steel,Light Gauge Thinner Wall Stainless Steel Pipe for drinking water,gas transmission,pharmaceutical, medical, food and beverage industries Hay:A02ZJZK
Chinese leading manufacturer of tubular membrane, special separation nanofiltration membrane (DT/MTNF) and disc-type reverse osmosis (DTRO),DT/ST high pressure membrane systems Hay:F07XMJR
China’s leader in high-temperature alloy, titanium alloy and magnesium alloy ring forgings Hay:T03WXPK
The 20th China International Mould Technology and Equipment Exhibition opened at the National Convention and Exhibition Center
International development status of large and complex titanium alloy precision structures and titanium alloy castings
Chinese leading manufacturer of Tungsten Molybdenum Nickel Iron Alloy,Tungsten Nickel Copper Alloy,Tungsten Molybdenum Alloy,Tungsten Copper Alloy,Molybdenum and molybdenum alloys,TZM, MHC,Tantalum Niobium alloy Hay:A04GDRC
Global leading manufacturer of precision beryllium copper alloy wire, beryllium copper alloy strips, copper-nickel-tin high-performance heat-resistant elastic alloy material, high-performance conductive elastic copper-nickel-tin alloy material Hay:A03JPNG
Solid Power releases the first-generation all-solid-state battery with an energy density of 320Wh/kg
Chinese leading manufacturer of sight glass protective screens, touch screens, 3D glass, touch display modules, new display devices and precision magnesium alloy and aluminum alloy structural parts Hay:F06ZJXX
Indian researchers have developed a polyamide composite nanofiltration membrane with super ion selectivity and high water permeability
Evonik redefines its 3D printing material product portfolio under the “INFINAM” brand: the INFINAM product line will include all its 3D printing materials, except for its medically certified biological materials
China’s leading LCD substrate glass, high alumina glass, lithium aluminum silicate glass, Ultra thin glass (UTG) manufacturer’s ultra-thin and high-transmitting photoelectric glass project officially started Hay:B04CHJT
Chinese leading manufacturer of high-precision aluminum alloy, magnesium alloy, stainless steel parts and components for the aviation, semiconductor, and medical industries Hay:T09SZLJ
Chinese researchers have made progress in the field of tumor treatment based on nano-ferrite magnetic materials
Chinese biodegradable magnesium alloy manufacturer “degradable magnesium internal fixation screw products” has obtained the EU CE registration certification and officially entered the Georgian market Hay:P01DGYA
Rocket manufacturer Relativity Space optimized more than 70,000 traditional rocket parts to more than 700 metal 3D printed parts
McLaren introduces a new ultra-light carbon fiber chassis and lightweight car structure to provide support for the next generation of electric super sports cars
Global leading manufacturer of silicon wafers:Single Side Polished (SSP), Double Side Polished (DSP) wafers and Silicon on Insulator (SOI) wafers for MEMS and sensors, discrete semiconductors and analog circuits Hay:I01SHOK
About US$100 million invested in Wacker Chemie’s expansion of polymer production capacity in China
China’s leading graphene material technology company released new products: graphene supercapacitors and graphene flexible transparent keyboards at the China Graphene Conference Hay:H02CQSM
Aluminum vanadium alloy and TC4 alloy powder supplier Hay:F01XATL
The ultra-deep water submarine line pipe produced by China’s leading seamless steel pipe manufacturer was successfully used in the construction of the deepwater central manifold Hay:AO2HNHL
Plessey partners with Axus Technology to deliver its world-leading monolithic microLED displays
China’s leading OLED and color photoresist materials manufacturer uses TASF advanced technology to achieve breakthroughs in OLED deep blue efficiency Hay:I01BJDC
The leading precision aviation parts, aviation structural parts manufacturer and suppliers of Airbus and Bombardier Hay:T01ZJXZ
Brief introduction of titanium alloy for bolts and its mechanical properties
Chinese leading manufacturer of Polished Mirror Finish stainless steel sheetsheets,belts,strips,high Corrosion Resistance Stainless Steel Belt,for chemical,biomedicine,food,film industries Hay:A02SHLT
China’s leading manufacturer of ultra-clean stainless steel fluid and vacuum piping systems for semiconductors, biomedicine, food industries Hay:A02KSXL
Global leader for lithium battery electrolyte solvents:PC (propylene carbonate), EC (vinyl carbonate), DMC (dimethyl carbonate), DEC (diethyl carbonate), EMC (ethyl methyl carbonate) Hay:N03SDSD
ePTFE breathable membrane supplier IPO Hay:F07JSFY
A Chinese manufacturer of high-performance copper alloy materials released the Vapor Chamber for mobile phone heat dissipation: VC, a lead-free brass alloy material for connectors Hay:F04NBBW
Chinese researchers have made new progress in magnesium-based supramolecular structured layered functional materials (LDHs) technology
The world’s leading supplier of solid oxide fuel cell (SOFC) electrolyte membranes Hay:N02HBSH
Global leading manufacturer of Connectors,Power Cables,Antennas,Bare Wire,Coaxial Cables, Flexible Cables, Flexible Printed Circuit Boards, Precision Hardware / Plastic Components Hay:F04SZLX
LG Innotek announced that it has successfully developed a “high-efficiency ferrite” with the lowest power loss in the world
A Chinese manufacturer that only makes high-end precision metal structural parts Hay:T01JSKS
China’s leading manufacturer of 4-inch silicon carbide substrate, 6-inch silicon wafer carbide Hay:I01BJTK
Sojitz announced that it will invest in carbon fiber structure construction startup IsoTruss
Teijin Frontier has successfully developed a new creative super absorbent and quick-drying fabric
Chinese leading manufacturer of III-V compound semiconductor substrates, Wafer Substrates,Gallium Arsenide,Indium Phosphide,Germanium,PBN ceramic and high purity metals for microelectronic and optoelectronic Hay:I01GDSD
China’s top titanium and alloy material manufacturer and titanium alloy sheet and tube manufacturer invested in China’s leading titanium sponge manufacturer
The high-pressure large-diameter pressure-balanced plug valve developed by China’s leading manufacturer of pipeline valves and process valves has passed the on-site industrial test acceptance Hay:U05SCJK
CATL`s 4.6μm lithium battery copper foil Supplier, China’s Ultra-thin Copper Foil Manufacturer Earnings Surpassed Expectations Hay:A03GDJY
Chinese leading manufacturer of Thermal insulation material,Heat Pipe,Vapor Chamber:VC,EMC shielding materials Hay:F03BJZS
A leading Chinese hybrid liquid crystal material company takes a stake in Japan’s Thermally Activated Delayed Fluorescence Materials (TADF), the third-generation OLED light-emitting material innovation company: Kyulux, Inc.
Chinese leading manufacturer of super thermal insulation composite material, ceramic matrix composite material, silicon carbide nano mirror coating Hay:F03HNDZ
U.S. Unifi and Chinese nylon 6 manufacturer reached a strategic cooperation on REPREVE recycled nylon
Chinese leading aluminum alloy precision parts manufacturer successfully developed electric compressor scrolls and high-precision heat exchangers for VALEO,HANON SYSTEM and NEXTEER Hay:T02CQSD
Chinese leading manufacturer of Precision aviation structural parts: precision shell parts, rotary parts, stringer parts, frame parts and heterotypic complex curved surface parts Hay:T09XAKC
The immersive liquid cooling solution provider won the first prize of the Ninth China Innovation and Entrepreneurship Competition Ningbo Division Finals! Hay:F03NBLY
Chinese leading manufacturer of high-precision transmission parts:worms, worm shafts, vehicle motor shafts,spline shafts,lead screw,motor shafts,high-pricision parts Hay:U02NBSD
China’s leading manufacturer of architectural coatings, thermal insulation decorative panels, and new fire-resistant and thermal insulation materials builds new production bases Hay:K06SHYS
3D printer manufacturer Zortrax and the European Space Agency (ESA) are cooperating to study combining PEEK with ESA conductive variants
Bombardier has developed a new technology that can enhance the electrical and thermal conductivity of traditional composite materials: nano-modified aerospace composite materials
Chinese leading silicon carbide (SiC) wafer technology company has successfully developed 6-inch silicon carbide substrates, and will soon mass produce Hay:I01SXLK
China’s petrochemical giant releases long glass fiber reinforced PP special materials Hay:C02YZSH
Chinese leading manufacturer of precision aluminum alloy die casting for automotive: transmission box, valve body parts, compressor cylinder body, battery box, motor shell Hay:T02SDSH
China’s leading TPV/TPE, TPU modified material manufacturers have developed new products such as special materials for breathable films, degradable materials, PPS special engineering plastics, blow molding/blister grade ABS Hay:C03SDDE
South Korea develops solar photovoltaic coatings that can be applied to the exterior of cars to provide energy
China’s precision ceramic parts manufacturer release:ceramic particle structure ceramic filter membranes, wear-resistant microcrystalline alumina ceramic parts,precision ceramic guide rails Hay:B03SDGY
China completes the first kilometer-level high-temperature superconducting cable project Hay:H07SHGJ
The Fastcan Alliance formed by KLK Motorsport and M&F Gerg recently announced plans to complete the manufacture of carbon fiber canopy structure for “high-speed low-cost helicopters”
China’s precision aluminum alloy die casting manufacturer builds a new production base for 5G radiators, electric vehicle radiators and aluminum alloy precision die castings Hay:T02SZKC
Fuel cell company Nuvera uses BASF`s Ultramid Advanced N polyphthalamide (PPA) resin to manufacture multiple components in its latest generation 45-kilowatt fuel cell engine
Chinese nano-metallurgical technology innovator develop a variety of nano-modified super metals: high-strength nano-aluminum alloy, nano-magnesium alloy powder Hay:H01SZMT
China’s leading manufacturer of graphite anode material,fuel cell flexible graphite bipolar plate, high-purity graphene, and ultra-high-purity graphite Hay:N01SDNH
Chinese ceramic fiber manufacturer break through the industrialized production technology of alumina continuous fiber Hay:B01SHRR
Ultra-High Purity, High Heat Resistance and High Wear Resistance Silicon Carbide coating products Hay:B01HZDH
China’s leading PBAT biodegradable plastic manufacturer builds a new production base for PBAT with an annual output of 300,000 tons of biodegradable polymer materials Hay:C03SDRF
Chinese leading Nickel white copper supplier and manufacturer Hay:A03ZZQY
Chinese Chrome bronze alloy supplier and manufacturer Hay:A03ZZQY
Chinese leading Zinc cadmium tin nickel anode plate manufacturer and supplier Hay:A03ZGYS
Chinese leading Amorphous alloy supplier and manufacturer Hay:H06DGYA
Second to Futamura Cellophane Film,Chinese top Cellophane film manufacturer and supplier Hay:F08SDHL
The global leading Copper-clad Aluminum (CCA) Busbar supplier of Siemens and Schneider Hay:D04YTFX
Chinese leading Wire cut molybdenum wire manufacturer and supplier Hay:A01JDMY
China Top Large Size Seamless Ring Rolling Disc Forgings,Forged Hollows Manufacturer and Supplier Hay:T03SDYL
China leading micron spherical metal powder manufacturer and supplier Hay:F05QDYL
China leading W-Cu Composites (Tungsten-copper Composites) manufacturer and supplier Hay:D04JSDQ
China leading special stainless steels, high-strength structural steels,bearing steels manufacturer and supplier Hay:A02SHBG
China leading high-elastic heat-resistant electrical contact material Cu-Ni-Sn alloy manufacturer and supplier Hay:A03XACJ
China leading copper-steel bimetal composite materials manufacturer and supplier Hay:A03JHCK
China top aluminum-matrix composite materials: aluminum copper clad composites manufacturer and supplier Hay:D04JSYB
China leading Zinc White Copper Strips manufacturer and supplier Hay:A03AHXK
China’s large forging manufacturer provides high-end forgings for China’s nuclear power equipment Hay:T03ZXZG
Chinese leading manufacturer and supplier of high-purity stainless steel components for ultra high vacuum (UHV) system Hay:U07KSXL
INEOS Styrolution’s LURAN series of products-specifically designed for food contact safety
Chinese ultra-flat copper-nickel alloy single crystal wafer manufacturer released germanium-based graphene wafers, 8-inch graphene wafers Hay:I01ZKSW
A Chinese manufacturer of high temperature resistant copper-based magnet wires builds a new production base for flat magnet wires for automotive motors Hay:F05TLJD
Advanced materials: “Ionotronic Luminescent Fibers, Fabrics, and Other Configurations”
BASF won the “CIIF New Material Award” issued by China International Industry Fair for PolyTHF® polytetrahydrofuran
To build an international leading optical and optoelectronic component company Hay:F06HZMD
Chinese leading manufacturer of automotive precision parts and air-conditioning compressor precision parts and components Hay:T09ZJBD
Chinese leading manufacturer of Electric Fused Quartz,Opaque Quartz and Synthetic Quartz Hay:B04HBFL
Typical applications of nano-sized silica
China’s corrosion-resistant alloy seamless steel pipe and bimetal composite pipe manufacturer builds a new special alloy welded pipe production line Hay:A04ZJJL
China’s leading manufacturer of tungsten-cobalt cemented carbide materials successfully developed nano cemented carbide rods Hay:A04HNKR
Zeller+Gmelin provides services for Chinese precision copper rod manufacturers Hay:H06YNYT
U.S. researchers develop copper-tin film that can greatly improve lithium battery performance
Chinese sound insulation material companies have made important breakthroughs in noise reduction technology based on thin-film acoustic metamaterials Hay:F02ZGDK
The performance is comparable to D30 materials, and China Material Technology Corporation successfully developed smart elastic impact protective material Hay:C03XAPK
Medical grade titanium powder and nickel titanium alloy powder
Teknor Apex and Anta have jointly developed a thermoplastic elastomer (TPE) high resilience midsole
A new discovery by a Korean research team: carbon fiber can extend the service life of concrete structures and lower costs
Ceramic doped with graphene, Brown University has developed the toughest solid electrolyte to date
Chinese company intends to acquire the world’s largest independent metal bipolar plate technology supplier: Belgium Borit Fuel Cell Company
Development direction of carbon nanotube enterprise industrialization
China’s Micro-needle electromagnetic shielding film technology giant builds a new electromagnetic shielding film and ultra-thin flexible copper clad laminate production base Hay:F05GZFB
Chinese stainless steel technology company realizes mass production of ultra-pure corrosion-resistant large-diameter stainless steel pipes Hay:A02ZGBZ
Teijin and Safran sign a long-term supply agreement for high-performance composite materials to continue to make efforts in the aerospace sector
Following Intel, AMD, Samsung Display, etc., Sony and OmniVision have been approved to supply mobile phone CMOS to Huawei
German SLM Solutions Group AG releases next-generation metal 3D printer with more than 10 laser beams
China’s special alloy high-precision forgings, magnesium alloy ring forgings manufacturer products enter multiple application fields Hay:T03WXPK
Kawasaki Heavy Industries has successfully developed a Coronavirus (COVID-19) virus detection robot, reducing the cost of detection by 80%
Merck invests 20 million euros in South Korean OLED purification equipment to respond to the demand for folding and rollable materials
C/C composite thermal field material for monocrystalline silicon Hay:F03HNJB
FEV and German energy company Uniper cooperate to develop power bank for electric vehicles
Chinese leading manufacturer of industrial robots and robot core components Hay:R10NJES
China Wearable Robot Technology Company develops China’s first muscle armor Hay:P01SZYY
China’s leading manufacturer of precision mechanical parts for wind turbines won a commercial contract worth nearly 1 billion RMB Hay:A02SZGD
Tesla Motors Magnet Wire Supplier Acquires Chinese Magnet Wire Competitor Hay:F05CSJB
The “High-Performance Titanium and Titanium Alloy Key Technology and Industrialization Project” developed by a Chinese titanium alloy technology company won the award Hay:A04HNJT
CFRT:Continuous Fiber Reinforced Thermoplastic composites have promising prospects in the fields of rail transit, automobile lightweight and consumer electronics
Chinese lithium battery material giant acquires shares in China’s leading graphene technology company Hay:H02SZBZ
Gold nano-membrane material amplifies signals and accurately detects Coronavirus (COVID-19) virus antibodies
Mazak will participate in The 3rd CIIE with products such as the turning-milling composite machining center INTEGREX i-250H S and the high-precision vertical 5-axis machining center VARIAXIS C-600
Daimler Trucks acquires a minority stake in Lidar supplier Luminar to launch L4 autonomous trucks
OmniVision Technologies obtains a license from the US Department of Commerce to supply image sensors to Huawei
Firefly will use automatic fiber placement (AFP) additive manufacturing technology to produce large fiber composite parts for the composite rocket Alpha
STMicroelectronics and Sanken Electric jointly develop smart power modules that can be used in automotive products
The global automotive industry composite materials market will reach $19.4 billion in 2027
Siltronics, a German manufacturer of ultra-pure silicon wafers
Chinese polyurethane fiberglass composite profiles technology company and German materials leader jointly developed PU fiberglass reinforced polyurethane pultruded profiles Hay:D02SHJR
China’s leading manufacturer of micro-nano porous precious metal ultra-light material, ultra-thin foam copper with micro-nano structure and porous metal nickel Hay:F03QDSZ
The Boeing Company confirms that STRATASYS’s Antero 800NA thermoplastic wire [PEKK-based polymer] can be used for flight parts
US Micro LED technology developer VerLASE announces substantial progress in massive transfer technology
Chinese top manufacturer of zirconia ceramic materials for dental has achieved export to the international high-end market Hay:B01SDGC
Development status and prospect of polymer composite materials in China
Ballard Power and Audi sign final agreement to apply high-power-density fuel cell stacks to commercial trucks and passenger vehicles
China’s leading waterproof material technology company builds a new production base Hay:K02JSKL
Under the aura of Tesla, Chinese automotive-grade PCB/HDI manufacturers are gradually realizing import substitution
Westinghouse develops 3D printed nuclear power fuel assembly isolation barrier
Chinese leading manufacturer of navigation and positioning chips, modules, and PCB boards account for 50% of the UAV market Hay:I04BJBD
Chinese researchers have made important progress in modifying thermal barrier coatings on aluminized surfaces
Varian Medical Systems will showcase the latest products and technologies for smart cancer treatment at CIIE
Electric vehicles promote rapid growth of SiC material devices
Yageo’s monthly production capacity of MLCC will reach 100 billion units in 2022, second only to Murata and Samsung Electro-Mechanics, ranking third in the world
One generation of materials and one generation of aircraft, development history of aircraft materials
The world’s largest ultra-fine diamond wire manufacturer has made breakthroughs in special-shaped cutting and unidirectional cutting diamond wire Hay:B06SXYL
China’s leading manufacturer of thermal interface materials: High performance thermal conductive phase change materials, Thermal Pad manufacturer invested 2 billion RMB to build a thermal material industrial park Hay:F03SZHJ
NACHI robots will showcase new technology products such as remote operation safety technology, frequency conversion hydraulic station, etc. at 2020 CIIE
Waseda University research team made 14 cm long carbon nanotube bundles
Chinese leading manufacturer of titanium and titanium alloy clad metal composite plate materials and rare precious metal materials Hay:D04SXTC
A Chinese manufacturer builds a new synthetic diamond crystal superhard material production base for chips and semiconductor silicon wafer coating materials Hay:B06SXYD
Chinese polyacrylonitrile-based hollow carbon fiber technology enterprise successfully developed T1000 grade carbon fiber Hay:D02ZKSX
Break through Japanese restrictions! Samsung Electronics invested approximately 440 million yuan in four materials and equipment plants in South Korea
Medtronic showcases a new generation of extracorporeal membrane oxygenation technology ECMO at 2020 CIIE
China’s silkworm spider super bionic silk technology company has made a breakthrough in the research and development of black widow spider silk Hay:D01ZJJX
5G mobile phones bring market growth, and Chinese Liquid Crystal Polymer manufacturers continue to invest
The KingFisher Apex automatic magnetic bead purification system developed by Thermo Fisher Scientific can extract 96 nucleic acid samples in 15 minutes
A Chinese Austrian company established a joint venture to produce high-end large-size sapphire monocrystalline rods Hay:B06HQLA
China’s femtosecond laser, ultra-fast laser processing equipment solves the difficult problem of precision manufacturing of China’s aeroengine complex microstructure Hay:T09ZKXA
HannStar Display will establish mini/microLED production line
China’s leading consumer electronics non-metal precision functional parts manufacturer acquires metal precision structural parts business Hay:T09GDWB
IGBT giant Infineon’s largest production line settled in China
China Rare Earth Alloy Technology Corporation uses calendering to prepare garnet system-doped yellow fluorescent glass Hay:B04BTXT
China’s scientific research-grade high-purity black phosphorus crystal powder manufacturer achieves four orders of magnitude growth in black phosphorus crysanufacturer achieves four orders of magnitude growth in black phosphorus crystal output Hay:B07SZZK
SK Hynix moved its 200mm wafer production line to China after acquiring Intel’s NAND flash memory business
Chinese researchers have developed all-natural bionic structural materials with high strength, high toughness and high stiffness, which can withstand high temperatures of 250℃
China’s leading molecular sieve technology company builds new hydrogen and oxygen molecular sieve production base Hay:F07LYJL
XCMG`s largest Hydraulic cylinder, hydraulic valve supplier’s new production base is put into operation Hay:R08XZYY
China’s leading Wi-Fi MCU and Bluetooth chip technology company strengthens Wi-Fi 6 FEM technology Hay:I06SHLX
China’s leading manufacturer of electroplated tubing casing, lined tubing, and copper-plated tin couplings appeared at China International Petroleum and Petrochemical Equipment and Technology Exhibition Hay:A02SDYL
Chinese researchers have made important progress in aluminum alloy laser-arc composite additive manufacturing
Chinese leading RTF copper foil, HVLP copper foil manufacturer’s new high-precision electronic copper foil production line officially put into operation Hay:A03GDCH
German material technology giant Heraeus demonstrated electromagnetic shielding technology, photovoltaic conductive silver paste, and quartz products in semiconductor manufacturing at CIIE
China’s leading EEPROM, VCM driver chips and smart card chip technology company is actively developing NOR Flash, RFID chips and micro motor driver chips Hay:I06SHJC
China Special Steel Technology Group cooperates with China’s leading marble row saw blade manufacturer to develop row saw blade steel Hay:A02BJSG
Global leading manufacturer of metal clad conductive fibers and braids for power system cable harness`s wave protection and electromagnetic shielding Hay:F04USSY
Selected laser melting leader SLM Solutions officially released the latest SLM equipment NXG XII 600, which is 20 times faster
Sharp has developed a high-performance mask with anti-glare and anti-fog functions
China’s leading titanium alloy pressure shell technology company helps the Chinese
In addition to VISION concept tires, Michelin also has hydrogen fuel cell module StackPack
The rise of Chinese liquid metal industry
American Arris company developed carbon fiber Additive Molding™ technology, which perfectly combines the speed of injection molding technology with the strength of carbon fiber materials
The lightweight carbon composite body structure[pultruded (extruded) carbon fiber beam] designed by the Israeli company Plasan is 20% lighter than the aluminum body
American researchers have found that adding single-walled carbon nanotubes to nickel-cobalt-manganese cathodes can improve the conductivity and higher rate capabilities of the entire battery
Chinese leading manufacturer of sensor signal & pressure conditioning chip,Sensor Signal Conditioner,three-axis MEMS acceleration sensor ASIC Hay:I06SZNX
Samsung and Foxconn’s functional coating hot melt adhesive film for FFC products and LED flexible circuit board supplier built new wafer process protective film production lines Hay:F04GDLE
3D Systems and ARL jointly develop the world’s largest metal 3D printer
Wind turbine blades are further enlarged and lighter in weight, and carbon fiber main beams may completely replace glass fiber
China’s leading manufacturer of bone conduction vibration speakers, bone conduction microphones and bone conduction headphones Hay:F02SZSY
Chinese leading manufacturer of Precision stamping parts, precision electronic connectors for consumer electronics, communications, automotive fields Hay:T01JSFT
China Graphene Technology Company successfully developed graphene heating film Hay:F03SZXW
Chinese leading manufacturer of MEMS chips, MEMS Microphone, MEMS Pressure Sensor, MEMS Inertial Sensor Successful IPO Hay:I06SZMX
China’s leading special steel manufacturer and drive shaft manufacturer jointly develop steel bars for drive shafts Hay:A02TJRC
Korean researchers achieve large-scale exfoliation of millimeter-level high-quality graphene
Heraeus launches supporting materials for power electronics: cermet substrates, aluminum-clad copper wires, Die Top System (DTS) bonding wires
Chinese researchers have developed “carbon fiber super coating” to put on “cold-proof clothing” for aircraft, transmission lines and other equipment in high-altitude and high-cold environments
The commercial light and thin TOF lidar developed by China’s leading lidar sensor technology company won the China High-tech Fair Excellent Product Award Hay:I06SZWZ
China’s leading manufacturer of precious metal bonding wires, high-purity precious metal evaporation materials, and sputtering target materials plans to expand production Hay:I04YNGY
Products of China’s leading large-size silicon carbide material and gallium nitride epitaxial material manufacturer enter the customer verification stage Hay:I01NBZD
China ITO target, molybdenum target, copper target, titanium target coating target technology group is building the largest and most complete target production base in China Hay:A01ZZAT
Chinese technology company successfully developed metallocene ultra-high melt mass flow rate polypropylene/mUHMWPP Hay:W03ZGSY
Chinese Leading manufacturer of reflective film, backplane base film, optical base film Hay:F06NBCY
Chinese researchers have developed a solar-driven basalt fiber seawater desalination membrane
China’s leading ITO conductive film,smart dimming film and glass manufacturer successfully developed anti-power cut-through PDLC film Hay:F06ZHXY
China’s hydrogen fuel cell perfluorinated proton exchange membrane has achieved commercial production Hay:N02SDDY
US researchers have found that adding single-walled carbon nanotubes to nickel-cobalt-manganese cathodes can improve battery conductivity
With micron-level processing accuracy and a global leader in precision mold manufacturing technology, Makino will build a factory in China
Ascend, the world’s leading PA66 resin manufacturer, launches HiDura long-chain polyamide with dimensional stability
China’s high-end aluminum alloy structural parts manufacturer plans to establish a joint venture with Mitsubishi Aluminum to jointly promote the automotive lightweight aluminum parts business Hay:T06JSYT
China’s leading non-oriented silicon steel manufacturer enters the nuclear power field for the first time Hay:F05LNAG
Ford Valve Train Parts and precision cold forming parts supplier Hay:T04HZXZ
Chinese leading manufacturer of Silicon carbide electric drive system, SiC power module Hay:I06SHZZ
China’s leading high-performance engineering plastic PPSU/PSU blend technology company successfully developed polyetherimide (PEI) Hay:C02GDYJ
China’s silicon carbide ceramic technology enterprise builds a new silicon carbide ceramic precision structural parts production base Hay:B01SHSZ
Chinese leading manufacturer of centrifugally cast tube and static castings in heat resistant alloys Hay:A04QDXL
DGS Druckguss Systeme AG invests in aluminum alloy and magnesium alloy die casting projects in China
Chinese leading manufacturer of aviation engine key components and medical orthopedic implant forgings expand production capacity Hay:T03WXHY
Airtac, Festo’s supplier of electromagnetic stainless steel soft magnetic alloy material for solenoid valves, pneumatic valves Hay:F05HNJZ
Chinese leading manufacturer of precision stamping parts,HDD actuator arms,high-precision machined components for the data storage, semiconductor, solar, automotive Hay:T01SZAJ
Obtained JNC OLED core patent, SK Materials established a joint venture to localize blue OLED materials
ePTFE membrane and CMD relief valve supplier of Valeo and HELLA Hay:F07JSFY
Chinese automotive precision parts manufacturer acquires VW and Harley engine variable valve business Hay:A02NBQJ
Chinese leading manufacturer of Artificial graphite high thermal conductivity film, high insulation thermal conductivity film Hay:F03AHTH
COMAC and GKN Aerospace jointly build a civil aviation structure production base in China
Motherson collaborates with UBQ to use environmentally friendly thermoplastics in auto parts
Chinese 316H austenitic stainless stee and Ocean Engineering Steel l manufacturer successfully developed VL4-4MOD low temperature steel for LPG transport ships Hay:A02LNAG
Demag China factory launches new medical injection molding machine
China’s high end power management chip industry is on the rise
China’s leading ultra-thin flexible glass (UTG) manufacturer starts construction of foldable glass cover production line Hay:B04AHEK
10-meter diameter aluminum alloy seamless forging rings for Aerospace Projects Hay:T03ZLXN
WACKER launches new LUMISIL 1K UV one-component optical bonding silicone optical water glue
The newly-built high-purity graphene powder and graphene slurry production line of China Graphene Technology Corporation was put into operation Hay:H02NXMG
China’s Leading AR Near-Eye Optical Modules, array optical waveguide manufacturer receives 100 million yuan investment Hay:F06SZLJ
Chinese leading manufacturer of Structured electrical contact materials/Particle and fiber reinforced electrical contact materials Hay:F04WZHF
China Rolled Aluminum Precision Deep Processing Company from Germany ANDRITZ Furnace Equipment Hay:A03SDWQ
WACKER held a groundbreaking ceremony for the expansion of polymer VAE production capacity at Nanjing site
Tesla’s Nanocrystallized ultra-thin strips and Fe-Si-Cr soft magnetic powder supplier enter the list of the global top 500 unicorn companies Hay:F05QDYL
China superfine microfiber Technology Corporation and BASF conduct strategic cooperation in polyurethane, bio-fiber, and spandex Hay:D01SHHF
China’s manufacturer of aluminum nitride ceramic powder, ANI substrate and ANI precision structural parts expand production capacity Hay:B01CDXC
Chinese leading manufacturer of solder preform, gold-tin solder seal lid/case, gold-tin film heat sink and gold-tin solder paste Hay:I04GZXY
Intel, Samsung’s Memory Interface supplier:DDR4 and DDR5 Registering Clock Driver and Data Buffer account for 50% of the global market Hay:I06SHLQ
China’s Fiber laser cutting machine invisible champion enterprise successfully developed a 40,000 watt ultra-high power fiber laser cutting machine Hay:Y01SDBD
The VIP production line expanded by China’s leading Vacuum Insulation Panel (VIP) manufacturer realizes commercial production Hay:F03FJST
French cable leader Nexans doubles its robotic cable revenue
Chinese leading manufacturer of compressor impeller,reciprocating and centrifugal compressors,ventilators, centrifugal pump Hay:T09SYGF
China’s largest manufacturer of wind power spindles and precision drive shafts has a 30% share of the global wind power spindle market Hay:T03SDJL
China’s leading manufacturer of modified rubber and plastic materials Hay:C05JSHD
Electronic paper manufacturer E Ink and Plastic Logic collaborate to launch flexible full-color electronic paper Iridis
China’s leading biodegradable material PLA and Lactide (PLA monomer) manufacturer Hay:C03ZJHZ
Nexteer and Continental’s joint venture CNXMotion develops braking and steering technology
China Gas insulated metal enclosed transmission line (GIL) technology company has a market share of 70% for 5 consecutive years Hay:F04JSAK
China’s leading high-precision aluminum sheet with foil, Mirror-polished aluminum sheet, mirror Finish aluminum sheet manufacturer Hay:A03ZLLY
Porsche, BMW, Tesla and Mercedes-Ben magnesium alloy precision structural parts Chinese suppliers Hay:T02CQBA
A Chinese manufacturer of PVC cable materials, medium-voltage and high-voltage shielding materials, and low-smoke halogen-free cable materials builds new production bases Hay:C03ZJWM
China’s leading manufacturer of PLC splitter chips, AWG chips, DFB laser chips Hay:I06HNSJ
AMAC and metal composite glass fiber/aluminum composite fiber, basalt fiber composite material company FibreCoat jointly develop glass fiber yarn products
Chinese leading manufacturer of silica and sodium silicate for Tire Rubber and Feed Additive Hay:C06WXQC
Chinese leading High temperature superconducting ReBCO strip manufacturer Hay:H07SHCD
Toray signs a long-term agreement with Joby Aviation to provide carbon fiber composite materials for its eVTOL
Cygnet Texkimp launched new generation of pneumatic unwinding creels [Flatline Creel] for the high-end carbon fiber market
Chinese leading manufacturer of high-purified precious metal (Pt, Rh, Pd)materials, semi-finished products Hay:A01SCGM
Survitec Group launches new marine aviation life jackets with carbon fiber reinforced cylinders
The high-performance liquid crystal mixed crystal material production base of China’s leading multi-stable liquid crystal technology company was put into operation Hay:I01SZHL
China Welding Equipment Corporation successfully developed a narrow gap argon arc welding robot Hay:Y01BJZH
China Automation Technology Corporation provides deep-sea master-slave servo hydraulic manipulators for the
The graphene anticorrosive coating developed by China Coating Technology Company is used on the Hong Kong-Zhuhai-Macao Bridge Hay:M02ZKJS
Material selection and application of high-performance composite materials in machinery industry
Airbus and Boeing’s carbon fiber composite structural component supplier provides products for China’s C919 commercial massive aircraft project Hay:D02CZCO
Chinese leading ultra-fine Polyimide chopped fiber,Polyimide fiber and yarn,Polyimide color filament manufacturer Hay:C02JSAS
Chinese leading manufacturer of SCARA four-axis robot,Intelligent wheel assembly and AGV jacking module Hay:R10GDTT
Evonik acquires LACTEL® medical biodegradable polymer business from DURECT
China’s leading manufacturer of robots and core components is moving towards the first camp of global industrial robots Hay:R10NJES
Chinese Thin-diameter polarization maintaining hollow-core photonic bandgap fiber industry invisible champion enterprise Hay:F06WHRG
China Carbon Fiber Structural Parts Company develops high-temperature, corrosion-resistant and high-strength carbon fiber carrier boards for the photovoltaic industry Hay:D02JSBS
[UHPC]Ultra-High Performance Concrete developed by China Steel Bridge Deck Paving Material Technology Company will gradually replace epoxy paving materials Hay:K08JSZL
Chinese leading manufacturer of wireless chargers,Nanocrystalline soft magnetic materials Hay:F05SHLP
The surgical robot “hinotori” developed by the medical robot manufacturer “Medicaroid” successfully performed the first operation
Provided lightweight C-Si-O honeycomb reinforced low-density anti-ablation material for China’s Chang’e-5 lunar probe Hay:F03HTCL
PetrolValves launches BRAVA, Boltless Reliable Advanced Valve
ArF photoresist products passed customer certification Hay:I03JSND
China’s leading manufacturer of building waterproofing materials and architectural coatings establishes a joint laboratory with Wacker Chemie Hay:K02BJDF
Akzo Nobel and PPG`s supplier of polyester resin for powder coating Hay:C11ZJGH
Freudenberg launches innovative high-performance Colback® non-woven fabrics for the Chinese building waterproof material market
China’s ultra-thin polyethylene foam material IXPE and electronic irradiation cross-linked polypropylene foam material (IXPP) manufacturer successfully IPO Hay:C03HBXY
Carbon Fibre Reinforced Polymera: CFRP is the key high-performance material for aircraft
China’s leading manufacturer of precision copper rods and Ultra-fine copper wire Hay:A03TJDW
The cumulative global sales of Universal Robots reached 50,000 units
Chinese leading manufacturer of Ultra-high brightness LED chip, UVB & UVC LED, sapphire substrate Hay:I04FJSA
Johnson & Johnson Medical,Smith & Nephew`s supplier of 316L stainless steel, titanium alloy orthopedic forgings successfully IPO Hay:T03WXHY
BOE and US Rohinni are developing the technology of Micro LED transfer
China’s leading Butterfly Valve and Cryogenic Valve manufacturer for petrochemical industry Hay:U05JSST
Wind turbines component and parts supplier of Vestas, GE, Siemens Gamesa Hay:T02ZJRY
The hot-rolled high-performance wear-resistant and corrosion-resistant steel dredger pipe was successfully applied to Asia’s largest suction dredger Hay:A02SHBG
Flexible Reinforced Thermoplastic Pipes[RTP]
Automotive seat angle adjuster,sliding rail and Precision stamping parts supplier of Volkswagen Hay:Q03JSLL
China’s low temperature resistant hot-rolled H-beam steel goes to the international market Hay:A02MGJT
Chinese leading manufacturer of rubber-plastic insulation material, centrifugal glass wool,extruded polystyrene insulation board (XPS) and other insulation materials products Hay:F03HBHM
Chinese manufacturer of submarine cables and Marine/Subsea Umbilical Cables won the bid for SSEN Skye-Harris Island Connection Project Hay:F04NBDF
High-performance ceramic 3D printing technology company Teston 3D launches special light-curable resin for 3D printing of graphene, cordierite, and mullite
China’s leading industrial valve manufacturer for petroleum, chemical, metallurgy, coal and electricity Hay:U05SZDS
China’s leading manufacturer of polysulfone/PSU, polyethersulfone PES/PESU, polyphenylsulfone/PPSU and PEEK Hay:C02SDHR
Global leading supplier of copper-chromium tungsten-copper (SF6) and chromium-zirconium-copper electrical alloy Hay:D04XASR
BASF and Meiban Create New Possibilities for Elastollan with Soft Touch Feel TPU Series
China’s largest sapphire patterned substrate manufacturer for GaN-LED devices Hay:I04GDZT
LG and Magna set up a joint venture to produce core components for electric vehicles: electric motors and inverters
China leading Heat-resistant Alloy Seamless Pipe manufacturer Hay:A02CZSD
Chinese leading manufacturer of silicon carbide substrate, sapphire patterned substrate for GaN-based LED chip Hay:I04ZJBL
Chinese leading manufacturer of High Purity Titanium powder,Sheets,Wire and High Purity Titanium Target for Tubes Hay:A01NBCR
China’s leading manufacturer of holographic grating waveguides, micro/nano/Diffractive Optical Elements (DOE), micro lens arrays and laser beam splitters Hay:F06SHKY
NIDEC, Bosch and BROSE high-performance NdFeB permanent magnet material supplier builds new production base Hay:F05YTZH
Huawei`s lithium-ion battery related patents are disclosed, and graphene materials will be used
High-strength aluminum alloy structural parts produced by liquid die forging (squeeze casting) process Hay:T03SDWQ
The large-scale lifting equipment for ultra-deep wells developed by leading Hoist manufacturer in China won the first prize Hay:Y08ZXZG
China Hydraulic Parts Manufacturer Releases Technical Standards for Hydraulic Cylinder Piston Rod Industry Hay:R08JSHT
Biodegradable material has made new progress, PLA cup can withstand 60℃
Wartsila Additives Division WHAM plans to 3D print key engine parts
Nissan’s lightweight super sound insulation material Acoustic meta-material won Popular Science “Best of What’s New” award
Global leading manufacturer of micro drive and VCM motors for camera and display modules Hay:U01HNHZ
Typical applications of graphene
METRO and Wal-Mart metal surface energy-saving thermal insulation sandwich panel supplier Hay:K06JSJX
Huawei and ZTE 5G equipment precision die casting parts manufacturer builds 4000T semi-solid aluminum alloy precision die-casting parts production lines Hay:T02FJJR
China Bearing Technology Corporation provides large deep groove ball bearings for the world’s largest wind turbine Hay:U03DLWF
Chinese manufacturer of shatter-resistant lithium aluminum silicate glass released a new generation of ultra-thin shatter-resistant sodium aluminum silicate glass Hay:B04SCXH
China’s leading 4K ultra-high-definition endoscopic camera system manufacturer cooperates with Medtronic Hay:P11SZXG
The largest specification TC4 titanium alloy sheet for offshore engineering Hay:A04XAXB
Zoomlion’s supplier of construction elevator speed reducers for construction elevators and tower cranes Hay:U01SZTR
China Carbon Fiber Technology Corporation builds a 48K large tow carbon fiber production base Hay:D01SHSH
Leading Precious Metal Products: platinum and rhodium slip plate and platinum rhodium alloy thermocouple wire supplier Hay:A01WXYT
The Water-Cooled Plate developed by a Chinese thermal management material manufacturer is certified by CATL, Toyota and Huawei Hay:F03SZFR
BorgWarner and Marle Behr turbocharger E-booster high-precision aluminum alloy die-casting mold supplier awarded Hay:T10ZJHS
China’s first liquid nitrogen superconducting transmission line is put into commercial operation
Titanium Aluminum Alloy Blades boost C919 Large Aircraft Hay:A04ZKYJ
Global leading supplier of stainless steel wire and high manganese steel shrapnel for Bosch and Valeo boneless wipers Hay:A02GDYT
China’s stainless steel and titanium alloy fasteners invisible champion enterprise Hay:U07SDTD
Boeing high temperature alloy and titanium alloy aviation forging supplier Hay:T03GZAD
Chinese magnetic components and power charging solutions provider enters the supply chain of Volkswagen MEB platform Hay:F05SZKL
China’s large size,special-shaped and complex structure ring forgings manufacturer successfully IPO Hay:T03JSHL
Ascend Performance Materials successfully acquired Eurostar Engineering Plastics
China’s invisible champion manufacturer of difficult-to-deform metal ring forgings for aviation Hay:T03GZHY
Chinese manufacturer of high temperature and corrosion resistant filter bags and industrial atmospheric control dust filter materials successfully IPO Hay:F07AHYC
China’s diamond tool technology leader Hay:T10BJAT
China’s AMOLED microdisplay invisible champion company Hay:I01YNAL
Chinese biodegradable Polypropylene carbonate/PPC production line successfully realized commercial production Hay:C03JLBD
China developed tethered drone motor with high-speed and high-voltage non-inductive FOC technology Hay:U01NBND
Toray launches recycled eco-friendly Ecouse® PET film
ZF’s electric drive system and key components China plant starts Construction
Global leading controlled atmosphere packaging suuplier:Airnov Healthcare Packaging unveiled at CPhI China
China leading high nitrogen nickel free stainless steel cardiovascular stent manufacturer Hay:P02BJZK
Chinese leading Refractory metal material:tungsten and molybdenum structural parts supplier Hay:A04JSBW
China’s large size aluminum-lithium alloy forgings successfully rolled off the assembly line Hay:A03HBHR
Chinese 3D printer helps Sweden ABIGO Medical AB to deliver quickly Hay:H01SHYZ
China’s large-size casting manufacturer provides large-scale castings for China’s megawatt hydroelectric axial-flow generator sets Hay:T02ZGEZ
China’s 3.5Ni ultra-low temperature steel realizes import substitution Hay:A02HGWG
Global leading Cylindrical lithium-ion battery cell supplier for Black & Decker and Bosch Power Tools Hay:N06JSWL
Rusal launches aluminum alloy powder combination for additive manufacturing
China’s leading industrial valve manufacturer for petrochemical, power plant and steel industries Hay:U05HBYD
China TOP silicon carbide/SiC heat exchanger and Condenser manufacturer and supplier Hay:F03WXYL
China Top High Performance Filter Material and Functional Filter/Mesh Manufacturer Hay:F07ZJJH
China’s leading manufacturer of nano-cermet super wear-resistant coatings and graphene corrosion-resistant coatings Hay:M03SHYF
Apple and BMW MIM precision parts supplier Hay:T05ZJDM
China’s high-purity semi-insulating silicon carbide substrate production line was put into operation Hay:I04SDGH
The Ultra-wide ultra-thin precision bright stainless steel belt technology won the first prize Hay:A02ZJYJ
China’s largest PBS biodegradable new material production base was put into operation Hay:C03LNHL
China leading IGBT Driver supplier Hay:I06SZQT
China Aramid Technology Corporation builds a new high-performance aramid paper production base Hay:D01YTTH
Huawei invests in China’s leading manufacturer of spherical boron nitride, silica and alumina Hay:B01SZJY
China’s leading 6-inch gallium arsenide GaAs/gallium nitride GaN manufacturer Hay:I01SCHT
CR929 large aircraft PD-35 engine parts will be made of polymer composite materials
BMW selects INEOS Styrolution’s StyLight composite as an alternative material for carbon fiber shift lever
China successfully developed KN95 masks with a fabric that effectively kills the Coronavirus (COVID-19) Hay:P05SDSQ
Chinese GaN monocrystalline substrate and epitaxial wafer manufacturer builds new production base Hay:I01SZNW
Ascend Performance Materials’ Acteev Protect™ antimicrobial fiber technology awarded
Chinese acrylic fiber manufacturer successfully commercializes flame-retardant acrylic fiber Hay:D01AHAQ
Mitsubishi Chemical Advanced Materials launches KyronMAX® structural thermoplastic material expansion product line
US metal 3D printing powder manufacturer Uniformity Labs completes Series B financing
Chinese researchers have made breakthroughs in medical magnesium alloy intraosseous implants
MHI Vestas Japan[a new joint venture between Mitsubishi Heavy Industries and Vestas]begins operations
NGK and Nagoya University added zirconium dioxide (ZrO2) to Al2O3-WC-CMC to develop super-hard Al2O3-WC-CMC
China’s leading PVC structural foam core material manufacturer builds new production base Hay:C02SDRZ
The HexPly M9 prepreg developed by Hexcel is certified by DNV GL
The alloy high temperature and high pressure oxygen check valve developed by the Chinese flat gate valve manufacturer won the award Hay:U05LZGY
China’s 3D printing TiAl alloy powder manufacturer wins orders from aviation users Hay:H01ZHMT
Chinese leading optical fiber and OE engine manufacturer Hay:F06WHCX
BMW, Volvo and Constellium jointly launch a lightweight aluminum housing for automotive batteries
China PIR polyurethane cold storage board, rock wool composite board invisible champion enterprise Hay:F03JSSF
Stratasys launches carbon fiber/ABS composite materials for 3D printing
China’s advanced ceramic manufacturer successfully commercializes aluminum nitride ceramic substrates Hay:I04SDGC
China precision progressive stamping die and precision stamping structural parts manufacturer Hay:T01NBZY
Three-year clinical follow-up data of China-produced absorbable stents show that the safety is far better than similar international stents Hay:P02BJLP
TRUMPF metal 3D printer TruPrint 3000 helps users achieve mass production
Mars Wrigley and Danimer Scientific collaborate to develop PHA biodegradable packaging
Unsupported metal 3D printing manufacturer VELO3D will be listed
Toray uses Nanoalloy® technology to create toughened polymers
Gore GORE-SELECT Proton Exchange Membrane Receives Toyota Commendation
IBS Filtran GmbH and LANXESS launch glass fiber reinforced polyamide 6 automotive transmission oil pan
The Supplie r of aluminum alloy liquid forging, semi-solid casting and foam aluminum cellular structural parts for Tesla Hay:T05SDHH
Global leading manufacturer of MEMS precision electronic components and semiconductor chip test probes Hay:T01SZHL
Hexcel joins the ASCEND project to accelerate the development of composite materials
China only cadmium zinc telluride CZT crystal, CdZnTe crystal, HgInTe crystal and HgMnTe crystal supplier Hay:F06SXDT
Lanthanum based amorphous alloy manufacturer Hay:H06CZPX
Siemens, Bombardier and Avery Dennison PI film supplier Hay:C04SZRH
Chinese metal powder technology company successfully developed high-performance ceramic reinforced aluminum composite powder Hay:H01JSWL
A Chinese manufacturer of high-grade pipeline steel becomes a supplier to Saudi Aramco Hay:A02BTJT
The ultra-fine MIM stainless steel powder supplier of Indo-MIM and Amphenol Hay:A05HNLD
China’s titanium alloy & corrosion-resistant alloy oil and gas pipe manufacturer established a national engineering R&D center Hay:A02SXYG
China leading LCP material, LCP antenna and LCP module manufacturer Hay:I06SZXW
Mitsui Chemicals invests nearly 600 million yuan to increase production of semiconductor ICROS™ tape
China leading high-precision metal parts manufacturer Hay:T09HKGT
The application of German TRUMPF green light 3D printing on inductor coils and radiators
China’s leading manufacturer of high frequency and high speed ceramic filled PTFE substrates and Hydrocarbon ceramic laminate Hay:I04FJHL
Boron nitride ceramic matrix composite and SiC/Al precision parts for China Space Station Hay:D05ZKJS
Chinese carbon fiber technology company starts high-strength and high-modulus carbon fiber projects Hay:D01ZKNB
GEO-cab uses carbon fiber materials to convert SUVs or trucks directly into camping vehicles
Trinseo officially completes the acquisition of Arkema’s PMMA business
Corning’s 10.5 generation glass substrate China plant is about to mass production
China High Purity Rhenium material and parts supplier for Rolls-Royce Hay:A01HNZL
Evonik’s first fumed silica production base in China officially started production
The super-wide 2,500mm polarizer PMMA base film developed by a Chinese manufacturer has passed customer verification Hay:C04SCLH
Mitsubishi Chemical launched a new product of fast curing carbon fiber prepreg in 60 seconds
Rare earth polishing powder and polishing liquid produced in China to replace similar DuPont products Hay:A06BTYH
PPG completes acquisition of German automotive coatings company Wörwag
LG Chem takes a stake in China’s third largest copper foil manufacturer Hay:A03JJDF
Kaneka officially launches North American carbon fiber and glass fiber prepreg business
Global leading High precision Optical communication and laser precision components manufacturer Hay:T09SZFY
Chinese TFT-PR positive photoresist manufacturer’s newly developed OLED screen photoresist has been applied to Apple products Hay:I03JSYK
China’s leading manufacturer of para-aramid fiber and UHMWPE fiber hybrid composite bulletproof material Hay:D01BJPN
Global leading manufacturer of chlor-alkali ion membrane and hydrogen fuel cell proton exchange membrane Hay:N02SDDY
Zirconium-based liquid metal/amorphous alloy precision parts supplier of Tesla and Huawei Hay:H06DGYA
BASF developed Carbon fiber reinforced Ultramid® Advanced PPA to replace aluminum and magnesium to make ultra-light parts
China’s high-strength and high-toughness aluminum alloy material manufacturer provides precision parts for the Chinese spacecraft “Tianzhou II” Hay:A03DBQH
Titanium alloys and superalloy supplier Hay:A04XAXB
Magneti Marelli and Solvay team up to launch the first carbon fiber car steering knuckle
Markforged releases continuous fiber reinforced 3D printer
Chinese BOPET film manufacturer builds a new production base for polarizer protective film base film Hay:C04CZZH
3D titanium printing company Zenith Tecnica has printed 260 titanium alloy parts for Maxar Technologies
The High-deep drawing aluminum alloy strip produced in China is exported to South Korea Hay:A03GSJG
China’s leading manufacturer of photothermal glass: photothermal ultra-white float glass substrates Hay:B04HNAC
China’s modified refractory insulation felt can effectively inhibit thermal runaway of lithium battery packs Hay:F03GZLY
ePTFE breathable membrane from Gore and Nitto was replaced Hay:F07JSFY
Zoltek Companies Expands PX35 Large Tow Carbon Fiber Capacity
Covestro provides Desmopan® 7000 thermoplastic polyurethane (TPU) and polycarbonate materials for 5G applications
China’s leading manufacturer of precision metal structural parts for semiconductor equipment Hay:T04SZHY
The world’s leading manufacturer of high-strength weathering cold-formed steel Hay:A02HBXG
Chinese carbon fiber reinforced composite material manufacturer assisted China’s first large-scale carbon fiber composite material liquid oxygen tank to pass the verification Hay:D01WHGW
Chinese refractory manufacturer builds new production base for hollow glass beads and carbon aerogel Hay:B04AHZG
Chinese manufacturer of ultra-high molecular weight polyethylene for lithium battery separators successfully realized commercial production Hay:C02ZGSH
A large-scale die forging manufacturer in China produces forged parts for the outer cylinder of the main landing gear for ultra-long-range, wide-body civil airliners Hay:T03ZGEZ
High-performance film: flexible PI/polyimide film
High thermal conductivity graphite film
China Grooved Flocking Wire and Copper Alloy Shaped Flocking Sheet Manufacturer for Colgate Electric Toothbrush Hay:A03SHZY
China’s leading TiNi, TiTa, TiAl, TiNbZr and CoCrMo spherical metal powder manufacturer Hay:A05SXSL
High-strength and high-conductivity copper alloy
China leading titanium carbon nitride Cermet/metal ceramic materials manufacturer Hay:B01CDMS
China’s leading manufacturer of metal bipolar plates and metal plate fuel cell stacks Hay:B01AHMT
GKN developed low-alloy dual-phase steel-DPLA and FSLA for the automotive industry
China’s leading manufacturer of high refractive index transparent ceramics, fluorescent ceramics and piezoelectric ceramics Hay:B02ZKHY
Low Temperature Poly-silicon: LTPS glass substrate material developed in China won DIC AWARD 2021 Hay:I01HBDX
Covestro and Nexeo Plastics develop Addigy®: a PC/ABS filament for 3D printing
China’s leading polyimide flexible conductive film manufacturer builds a new flexible optical film production base Hay:C04AHFE
China’s leading precision IR-cut Filter (IRCF) manufacturer builds new production base Hay:F06SZJB
China’s leading new production line for carbon/carbon composite materials and high-performance carbon ceramic brake discs Hay:D10SCTL
China Polypropylene Meltblown Fabric Company has developed a lighter, thinner and more breathable protective clothing fabric Hay:F07HBTY
The NbTi and Nb3Sn superconducting wire supplier of ITER and GE Hay:H07XBCD
Three ArF photoresist manufacturers in China have passed customer verification
China’s thermal insulation material/nano aerogel material manufacturer escorts the Mars rover Hay:F03ZHKG
China’s leading manufacturer of GaAs, InP Wafer, Ge SC Wafer and single crystal germanium semiconductor substrate materials Hay:I01BJTM
China’s negative Poisson’s ratio (NPR) rare earth steel/NPR anchor steel material realizes mass production and application Hay:A02BJBG
China leading K9 colored optical glass and Optical crystal manufacturer Hay:F06CCOP
China’s leading manufacturer of ultra-large diameter titanium alloy extruded pipes and titanium alloy precision parts Hay:A04SYZT
EconCore and Basaltex launch new basalt fiber composite materials
Luminar Technologies acquires lidar InGaAs chips manufacturer OptoGration
Recreus launches conductive Filaflex TPU filament for 3D printing
China leading Manufacturer of hydrogen fuel cell stacks core components:membrane electrodes, graphite bipolar plates, metal bipolar plates Hay:N01WXWF
China’s leading artificial cartilage Shock absorbing materials manufacturer Hay:F01GDLZ
Chinese manufacturer of high-performance nano-microsphere materials breaks international monopoly Hay:H02SZNW
China’s leading manufacturer of high-density polyethylene/HDPE self-adhesive film waterproofing membrane Hay:K02BJDF
China’s leading manufacturer of meta-aramid, para-aramid and aramid paper Net profit increase 2.5 times Hay:D01YTTH
High-end magnet wire supplier for CRRC and Bombardier Hay:F05HNZY
China Graphene Technology Company uses graphene conductive fiber material to make warm gloves for Raynaud`s disease Hay:H02JSQS
China Push-in Connectors for Water Purifier Hidden Champion Enterprise Hay:U07HZZH
LOTTE Chemical uses BASF Irgastab® to produce high-transparency medical polypropylene for the manufacture of new crown vaccine syringes
Solvay launches new KetaSpire® PEEK grade for precision ABS/ESC brakes and electric vehicle components
China’s high-end carbon fiber felt manufacturer builds a new production base Hay:D02SXXY
China’s leading rare metal spherical powder, CoCrMo alloy powder and customized porous tantalum implant prosthesis manufacturer Hay:A05GZSL
Jeep’s new generation of Grand Cherokee L uses BASF’s Elastocoat® 74850 polyurethane pultruded material
Toyota’s supplier of nickel foam and HEV positive and negative plates/nickel-metal hydride battery positive and negative plates establishes a new production base Hay:N01HNKL
China’s leading manufacturer of large-scale titanium alloy key components and equipment Hay:H04NJBS
China’s leading manufacturer of large-size isostatic graphite and nuclear-grade graphite products Hay:B06NXZG
China’s high-precision and high-speed aluminum alloy integrated hot stamping technology company obtains a new round of investment Hay:T01SZXD
China’s leading manufacturer of vehicle-mounted LNG/cryogenic insulated gas cylinders successfully developed Type IV high-pressure hydrogen storage cylinders Hay:N04SDAY
China’s leading Crystalline/Spherical silica powder and Spherical aluminum oxide powder manufacturer will built spherical powder for high-end chip packaging Hay:B04JSLR
Mi MIX4 model uses liquid crystal polymer (LCP) material Hay:C02SZWT
The pioneer and leader of high-performance meta-aramid and para-aramid fibers in China Hay:D01YTTH
AREVO build the world’s largest continuous Carbon fiber reinforced polymer/CFRP composite 3D printing factory
The world’s leading manufacturer of monocrystalline silicon for etching, Silicon Cylinder,Silicon Plate,Silicon Ring and silicon wafers Hay:I02JZSG
China’s VR/AR high-end optical component manufacturer occupies 70% of the global VR headset market share Hay:F06SDGE
China starts construction of Mesoporous Material Valley Hay:H02JNFD
China’s largest manufacturer of tantalum powder, tantalum wire, tantalum niobium and its alloy products has increased net profit significantly Hay:A01NXDF
Cree | Wolfspeed and STMicroelectronics expand existing 150mm SiC wafer supply agreement
Coca-Cola and Nestle Food and beverage bottle-grade PET chips supplier Hay:C02ZJWK
China leading Vacuum Insulation Panel (VIP) manufacturer and supplier Hay:F03SCMK
China’s leading manufacturer of crankshafts for ships and commercial vehicles Hay:T09GLFD
China’s MicroDisplay Technology Corporation and porous GaN semiconductor materials technology company Porotech have reached a partnership
China’s offshore wind power twist-resistant flexible cable manufacturer completes the first delivery of robot control cables Hay:F04ZJWM
IEEE has designated graphene as the most important semiconductor material in the future, and the key material for 2nm chips may be replaced with graphene
China’s leading manufacturer of PVDF film for Photovoltaic Backsheet Hay:C04HZFM
Tyco and Strix’s silver alloy contact materials and components supplier Hay:F04NBDG
China leading silver matrix electrical contact material:AgSn2O/AgSn2OIn2O3/AgNi/Ag/AgCdO/AgZnO/AgFe supplier Hay:F04NBHB
China’s leading polyimide film/PI film and colorless polyimide film/CPI manufacturer Hay:C04SZRH
The high-performance sintered NdFeB permanent magnet materials supplier for Continental Automotive, ZF, Schaeffler, Denso and Nidec Hay:F05AHDD
Lululemon cooperates with Genomatica to introduce bio-nylon into products
Chinese leading micro-nano diamond grinding and polishing materials manufacturer successfully developed 100nm diamond polishing products for Western Digital Hay:T10HNLH
High performance Concrete Admixtures escort national key projects
Chinese manufacturer of high-purity ultra-fine zirconium dioxide builds the world’s largest high-purity scandium oxide R&D and production base Hay:A06GDDG
Chinese leading manufacturer of high precision blades and high temperature alloy precision bolts for steam turbine rotor Hay:T09SCLH
China’s leading precision copper strip manufacturer develops a variety of high-performance copper alloy strips Hay:A03AHCJ
The ISO 22685 international standard project for the high-temperature compressive strength of refractory materials prepared by China was approved
The world’s largest manufacturer of amorphous soft magnetic alloy materials and Nanocrystalline ultra-thin magnetic tape Hay:F05QDYL
The only Chinese supplier of BMW engine crankshafts Hay:T09GLFD
China’s first batch of carbon fiber composite lightweight electric buses officially begin commercial operation
China’s leading OLED microdisplay manufacturer provides color AMOLED screens for Fitbit Charge 5 Hay:I01BJWX
Nikola and Bosch sign a cooperation agreement on fuel cells
China completes the world’s first shallow sea anti-typhoon floating wind power maneuver/dynamic submarine cable system installation Hay:F04NBDF
LANXESS launches hollow profile [plastic-metal composite parts] hybrid technology with greater torsional rigidity and strength
The supplier of Rare Earth luminescent and optoelectronic materials for Philips,Osram and GE Lighting Hay:I01JSBR
JSBX`s Graphene Thermal Conductive Film has been successfully tested by Samsung and Lenovo Hay:F03JSBX
The Global leading UHV ceramic insulator supplier for ABB, SIEMENS and GE Hay:F04HNHX
China has supply chain advantages in electric drive /motor systems for electric vehicles
China’s leading manufacturer of high-strength heat-resistant aluminum-magnesium alloy precision parts Build a new production base Hay:T02SXRG
MIT and Commonwealth Fusion Systems (CFS) Design the World’s Strongest Fusion Magnet with Capable of a 20 Tesla Magnetic Field
China’s leading manufacturer of hologram sticker & label, hot stamping foil Hay:F08WHHG
Beryllium materials play an irreplaceable role in aerospace field
The Chinese government announced the bidding results for ceramic, alloy and polyethylene artificial hip joints
China’s ultra-thin non-oriented silicon steel manufacturer has developed a new non-oriented silicon steel with fast self-bonding coating Hay:F05TGJT
Continuous fiber reinforced thermoplastic sheet (CFRTP) material supplier Suprem expands production
China’s mineral insulated non-combustible cable invisible champion manufacturer Hay:F04YZBS
The μm grade ultra-high precision and complex 3D micro/nano printing technology Hay:H01CQMF
China’s leading optical waveguide manufacturer launches two-dimensional expanded pupil array optical waveguides Hay:F06SZLJ
China Nano Aerogel Thermal Insulation Felt Provides 3000℃ High Temperature Protection for Rocket Engine Pipelines Hay:F03ZHKG
China’s leading manufacturer of low-temperature insulation LNG bottle system for heavy-duty truck Hay:Y08SDAY
Fiber-matrix flexible sensing materials and flexible sensing technology Hay:D01WHFB
Honeycomb-enhanced low-density heat-resistant materials provide protection for the return cabin of China’s manned spacecraft Hay:F03HTKJ
Chinese technology company releases large-size single crystal copper foil Hay:A03GDJY
China’s leading manufacturer of medicinal packaging glass, heat-resistant glass and electric light source glass Hay:B04SDLN
Chinese semiconductor diamond substrate manufacturer builds new production base Hay:I01JSHY
Mitsubishi Chemical launched a new thermoplastic composite material that can withstand flame burning at 1000°C for more than 5 minutes
Chinese motor manufacturer supplies electric vehicle drive motors to Vitesco Technologies/Continental Automotive Electronics Hay:U01ZJWL
Niobium metal produced by Chinese tungsten, molybdenum and niobium metal manufacturers accounts for 10% of the global market Hay:A01HNMY
Chinese T1100 carbon fiber manufacturer’s newly built 10,000-ton carbon fiber manufacturing base is put into operation Hay:D02JSZF
China’s 1000-megapa-class ultra-high-strength & ultra-thin steel compartment plate meets the lightweight design of automobiles Hay:A02SCPG
China leading Anti-reflective permeability-enhancing AR optical film glass manufacturer Hay:F04SZNB
The ultra-fine gold-plated molybdenum wire fiber woven satellite antenna metal mesh for Chinese Beidou satellite Hay:A01SHDH
China has made important progress in synergistically improving the mechanical strength and corrosion resistance of magnesium alloys
Chinese leading transparent nano-holographic displays, augmented reality and mixed reality displays technology company Hay:I01SZGZ
China’s leading manufacturer of precision aluminum alloy parts provides aluminum alloy battery shells for BYD blade lithium batteries Hay:T06GDHS
Chinese ceramic fiber cotton blanket manufacturers usher in greater market opportunities Hay:B03SDLY
Cummins Hydrogen Energy Technology China Headquarters Settled in Shanghai
China’s leading carbon fiber manufacturer builds a new production base for carbon fiber pultruded panels and carbon fiber woven fabrics Hay:D02JLHX
SK Siltron will invest 300 million US dollars to expand SiC wafer production facilities
China’s first self-developed high-temperature superconducting cable was officially put into operation
MT Aerospace AG sets CFRP milestone: Hydrogen tank for rocket propulsion proves itself in tests
Chinese leading Ag-Cu composite material manufacturer Hay:D04YNGJ
Chinese leading K9 optical glasses and CaF2 optical crystal materials and parts manufacturer Hay:B06CCAP
China’s electromagnetic stainless steel soft magnetic alloy for solenoid valve invisible champion enterprise Hay:F05HNJZ
China U-shaped aluminum sheet covered aerogel insulation felt used in the Beijing Winter Olympics venue Hay:F03ZHHL
Global leading precision die forgings: drive shaft forgings, gearbox flanges/shafts and wheel hub forgings supplier Hay:T03JSSW
Chinese leading carbon steel, alloy steel and stainless steel Nuclear power valve castings manufacturer Hay:T02ZJYL
Chinese leading medical grade titanium alloy rods and wires manufacturer Hay:A04SDZK
LANXESS Tepex dynalite continuous fiber reinforced thermoplastic composite
Chinese leading (Super) Duplex Stainless Steel Pipe/Tube manufacturer Hay:A02ZJJL
Chinese marine equipment manufacturer successfully developed deep-sea Marine Drilling Riser Systems (MDRS) for GE Hay:A02SDHM
Chinese thermal insulation rare earth glass coating manufacturer releases new products Hay:F03CDYT
The OEM Supplier of Track chains,track roller,carrier roller,sprocket and idler for Caterpillar and Komatsu Hay:U02HNST
Chinese leading microcrystalline zirconia ceramic back cover and fingerprint identification module ceramic cover manufacturer Hay:B01CZSH
Ford Motor develops graphene-enhanced PU foam that lowers noise and weight in vehicles
China Rare Earth Materials Technology Corporation launches R&D of nano-scale rare earth dysprosium oxide for MLCC Hay:A06GXGS
VCM/MCM/PCM/OCM laminated color metal sheet and PCG color coated glass manufacturer Hay:D04GDSB
Space Walker is developing a type IV composite high-pressure gas cylinder for hydrogen fuel cell drones
Chinese leading precision aluminum nitride, silicon nitride/Si3N4 and silicon carbide/SiC ceramic structural parts manufacturer Hay:B03SZKM
China’s leading graphene oxide paste and graphene thermal film manufacturer Hay:F03ZKSX
China’s first general aviation aircraft carbon fiber composite automatic production line officially put into operation Hay:D02HBJL
AON3D and Astrobotic cooperate to send 3D printed carbon fiber parts to the moon
Solvay launches ultra-tough epoxy prepreg CYCOM® EP2190 for the aerospace market
China MPI Material Technology Company builds MPI high-frequency and high-speed flexible material R&D center Hay:C02HNHH
The Global leading Nano-scale single crystal lithium niobate thin films & lithium tantalate thin films supplier Hay:B06JNJZ
Alumina polishing and aluminum nitride powder supplier Hay:B01HNTM
Qorvo announces the acquisition of United Silicon Carbide(UnitedSiC)[a manufacturer of silicon carbide power semiconductors]
Epoxy powder internal coating anticorrosive pipeline supplier of Shell and Charter Hay:F17SHTW
China’s leading manufacturer of gallium arsenide substrate materials starts commercial production in its new production base Hay:I01BJZK
Global leading carbon nanotube conductive agent and graphene conductive material supplier for lithium batteries Hay:H02JSTN
Chinese leading Fresh meat cling film/ flexible multi-layer coextruded functional packaging materials manufacturer awarded Hay:F08JSSH
Chinese boride ceramic scientist elected Academician of World Academy of Ceramics
Thermwood’s LSAM technology facilitates the manufacturing of large-scale composite materials
Chinese leading 150 million pixel industrial lens manufacturer has developed a full-frame zoom cinema lens Hay:F06GZCB
Chinese leading Laser crystal, laser neodymium glass and nonlinear crystal supplier Hay:B06NJGB
Silicon nitride powder and silicon carbide ceramic supplier Hay:B01QDCX
Trelleborg Unveils New Lightweight Fire-Resistant Material FRV that can protect electric vehicle batteries
Clean Sky 2 FUSINBUL project develops full-scale, carbon fiber pressure bulkheads
Development status of China’s conductive and semi-insulating silicon carbide substrate wafer industry
China’s leading textile flexible sensor manufacturer releases Matrix fiber pressure sensor Hay:I06BJMJ
Chinese leading low-temperature and high-temperature superconducting magnets supplier Hay:H07XAJN
JB Displays demonstrates ultra-high resolution Micro-LED Micro-Displays
China’s leading manufacturer of bright/mirror surface ultra-thin and ultra-flat precision stainless steel strip and ultra-thin precision stainless steel tube Hay:A02ZJYJ
Chinese alloy powder manufacturer successfully developed high-temperature, high-strength and high-toughness 3D printing aluminum alloy powder Hay:H01SXXH
China’s largest 8-inch silicon-based gallium nitride wafer/gallium nitride power chip manufacturer ranks among the world’s top three in shipments Hay:I06ZHYN
China’s leading nuclear pore filter membrane-high precision medical filter technology company Hay:F07WHZX
Chinese leading High-performance modified engineering plastics/PEEK, PEI, PPS, PA, PET, PVC, PE, PP, ABS board and rod and precision parts supplier Hay:C03SZTY
Nano high heat dissipation and anti-oxidation nano copper paste for chip packaging Hay:I02TWKS
Toray successfully developed a highly flame-retardant carbon fiber reinforced polymer (CFRP) prepreg for aerospace
Chinese leading supplier of high-strength and high-toughness titanium alloy wire/ultra-thin titanium alloy plate for medical Hay:A04BJXN
PBAT/PLA/starch fully biodegradable materials passed DIN CERTCO certification Hay:C03GDGL
Solvay launches a new generation of Amodel® PPA for electric vehicles
Antivirus and biochemical barrier protective clothing supplier Hay:P10XMDS
Chinese leading transparent electromagnetic shielding film supplier Hay:F05SZWD
China’s largest aluminum foil for lithium battery signed a long-term supply agreement with CATL Hay:A03JSDS
The world’s fourth manufacturer of medium-sized cardiovascular catheters Hay:P02SDBD
Chinese leading Precision SiC/Silicon Carbide structural parts supplier Hay:B01SHHS
Hexcel uses HexPly M9.6 carbon fiber prepreg to produce masts for Silenseas
Yapex achieves breakthrough in mass production of Pad-Open Film technology for flexible OLED protection
Chinese biaxially oriented polyimide film manufacturer successfully developed corona resistant polyimide film Hay:C04SDZY
Transparent micro-nano crystal window material/micro-nano crystal glass Hay:B04HZQZ
Large diameter and thick-walled aluminum alloy extruded tube manufacturer Hay:T06GDFL
China’s largest biodegradable plastic PHA production base was put into operation Hay:C03JSLJ
Hankuk Carbon won another order for ultra-low temperature insulation materials for LNG cargo tanks of Hyundai Heavy Industries Group
Chinese rubber material manufacturer successfully commercialized the production of butadiene isprene rubber Hay:C05ZHBL
The only four-link eccentric butterfly valve supplier in China for high temperature flue gas industry Hay:U05SDFT
Chinese leading precision metal components supplier for 7nm process semiconductor equipments Hay:T09SYFC
6800T aluminum alloy super-large precision die-casting equipment put into use Hay:T02GDHT
Chinese super large die forging manufacturer provides engine fan disc forgings for C929 wide-body airliner Hay:T03ZGEZ
Chinese 3D printer manufacturer successfully develops micro-nano 3D printer Hay:H01SHPL
Teijin supplies its Sereebo carbon fiber reinforced thermoplastic (CFRTP) for automotive crash box structures
Roche Building [the tallest building in Switzerland]chooses Chinese-made polymer self-adhesive film pre-laid waterproofing roll Hay:K02JSKL
Chinese company and GM successfully developed hot-rolled, oxidation-resistant and coating-free hot-formed steel Hay:A02AGJT
China’s high-end stainless steel pipe invisible champion enterprise Hay:A02ZJJL
The global leading rack piston and sector shaft for commercial vehicle steering gear supplier of Bosch,ZF,Knorr,Meritor… Hay:Q01SDJM
Corning Introduces 2.0 High Refractive Index Glass Wafers for Augmented Reality (AR)
China’s leading tungsten alloy, molybdenum alloy and tungsten copper manufacturer successfully listed Hay:A04SHRM
Provided Stainless Steel Refrigeration Tubes To Winter Olympics Venues Hay:A02TGJT
Sumitomo Electric won the first order for 400kV HVDC submarine cable in the Middle East
Chinese leading Melamine decorative panel/impregnated paper Supplier Hay:K01JSLS
Self-controlled temperature electric heating cable manufacturer Hay:F03WHJH
The leading EMI flexible electromagnetic shielding material and conductive cloth supplier Hay:F05SDTH
China’s leading manufacturer of ultra-high strength variable wall thickness coiled tubing and corrosion resistant alloy coiled tubing Hay:A02SXYG
China’s leading Ultra-fine high strength aluminum magnesium alloy wire and ultra-fine aluminum wire manufacturer Hay:A03JSGL
The leading Microfiber Acoustic Cotton supplier of Tesla, GM, Volkswagen and BMW Hay:F02WHLD
The leading High strength high guide bar/plate supplier Hay:A03SXSR
The global leading Polyurethane composite material “three-layer bionic structure artificial blood vessel” Supplier Hay:P02WHYS
Samsung Mini LED chip supplier certified by Apple Hay:I06FJSA
The global leading rock drilling tools:Mining Tricone Bit supplier of BHP Billiton and Rio Tinto Hay:T10SZXR
Film manufacturer DUO PLAST AG uses BASF’s static dissipative polymer TPU Elastostat®
The artificial single crystal diamond micro powder hidden champion enterprise in China Hay:B06HNHF
FACC AG joins the Netherlands Thermoplastic Composites Centre (TPRC) including: Spirit AeroSystems, Collins Aerospace and GKN Aerospace
The leading Zirconium Oxychloride and Zirconium Dioxide manufacturer builds a new production base for zirconia ceramic precision structural parts Hay:B01DFGY
LANXESS fiber-reinforced thermoplastic composite Tepex flowcore
The leading ultra-high-purity titanium / ultra-high-purity titanium crystal [5N]supplier Hay:A01HEBR
Sabic Introduces Thinner EI150A Dielectric Film
Samsung Display supplier Solus Advanced Materials announces production of OLED light-emitting materials in China
High wear and corrosion resistant oxide ceramics play an important role in semiconductor devices
Huawei and Ericsson’s multi-RF channel connector supplier released the 12th IEC international standard Hay:F04XAZH
Chinese optical film material manufacturer begins commercial production of Fresnel anti-glare film Hay:F06HNDY
China’s high-strength, high-toughness, corrosion-resistant and superplastic aluminum alloy materials boost China’s aerospace industry Hay:A03ZLDQ
The global leading high-performance steel wire manufacturer Bekaert increases investment in China
Lightweight/Ultra Thin [8 Micron] Biaxially Oriented Polypropylene [BOPP] Film Hay:C04ZGSH
The world’s leading graphene conductive agent leader and new carbon nanotube conductive agent Hay:H02QDDS
The leading supplier of Polyurethane Foam Insulation Materials for Wartsila Hay:F03SHHW
The leading Micro-Nano Optics AR Diffractive Waveguide/Diffractive Optical Element (DOE) supplier Hay:F06BJYG
China Ultra High Strength Variable Wall Thickness Coiled Tubing Manufacturer Releases Large Diameter Welded Surface Casing Hay:A02SXYG
Quartz glass fiber three-dimensional braided preforms and high-purity quartz supplier Hay:B04HBFL
The leading Complex and Helical Coil System for treating intracranial aneurysms and 5F/6F Aspiration Catheter supplier Hay:F03SHWB
Honeywell Expands Its Spectra® Medical Fiber Portfolio With Colored Medical Fibers
The leading Carbon Fiber/Glass Fiber Reinforced Composite Oil Pipeline supplier Hay:D02SDXD
Chinese precision copper tube manufacturer successfully commercializes high performance rare earth copper tube Hay:A03JXJT
Chinese rare earth bearing steel manufacturer stable supply ultra-high strength marine engineering steel Hay:A02SGJT
China’s largest epoxy-coated corrosion-resistant steel manufacturer successfully developed stray current corrosion-resistant coated rails Hay:A02ZJFB
Chinese super-sized sapphire substrate manufacturer’s new large-scale quartz crucible production line starts production Hay:B04ZJJS
TORAY successfully developed low-cost High Barrier Film
Memokath thermally expandable biocompatible nickel-titanium memory alloy ureteral stent
Nano-titanium diboride/TiB2 particle reinforced aluminum matrix composites Hay:D04DLLG
WLG wafer-level glass optical lens manufacturer Hay:F06CQCR
China leading Wide and Thin Superalloy Steel Strip Manufacturer Hay:A04TJTC
The global leading Ultra-precision lens molds, micro-nano textured 3D optical molds and wafer-level microlens array molds supplier Hay:T10SHLD
The leading Large-size Beryllium Copper Forged Ring supplier Hay:A04LZLS
China Oversized [Over 15m Diameter] Seamless Forged Ring Manufacturer Offers Products for Nuclear Power Hay:T03SDYL
The global leading rare earth alloy steel and rare earth wear resistant steel Supplier Hay:A02BTGT
The leading Carbon Coated Aluminum Foil and Carbon Coated Copper Foil Supplier for Lithium Batteries Hay:A03FSDW
The global top three Copper Chromium Zirconium/Cu-Cr-Zr alloy strip C18150 suppliers:Wieland-Werke,Mitsubishi and NBBW Hay:A03NBBW
The Leading High Performance Corrosion Resistant Alloys [Titanium, Zirconium and Nickel Aluminum Bronze] Valves Supplier Hay:U05ZJWD
The oxysome leadingd AZS fusion cast refractories and electric fusion cast α-β alumina refractories supplier for glass furnace Hay:F03SDAG
Chinese lithium-ion battery separator manufacturer gets order from FREYR Battery Norway AS Hay:N02SZXY
The world’s highest strength 1.5GPa cold-rolled dual-phase steel was successfully mass-produced in China Hay:A02SHBG
The leading Ceramic cores supplier for superalloy casting and titanium alloy precision casting Hay:B03LNHA
The leading Flexible graphene highly conductive composite film and graphene electric heating film supplier Hay:F03HNYJ
The global leading 3D visual perception/sensing camera supplier Hay:F06GDAB
The leading Polycrystalline Mullite Fiber Needle Punch Blanket and Magnesium Silicate Fiber Blanket Supplier Hay:B03SDLY
After UBE and Kaneka, China PI slurry manufacturer has formed mass production capacity Hay:C02HBDL
The leading Silver Soldering Rod and Silver Soldering Ring supplier Hay:F01SHDL
The leading Blue Glass IR Cut Filter Supplier of Apple and Samsung Hay:T09HFAX
China high-performance polyamide/PA/nylon film production line put into operation Hay:C02TJYW
Chinese micro-nano diamond powder manufacturer provides ultra-precision grinding and polishing products for Western Digital Hay:B06HNLH
China’s leading hemodialyzer manufacturer successfully commercializes hollow fiber membranes Hay:P06SDWG
The leading CFRP Structural Part Mould Supplier of Volvo Hay:T10NBYP
The Global and Chinese carbon fiber prepreg industry development
Super Large Integrated Aluminum Alloy Die Casting Technology Hay:T02GDWC
Nickel aluminum white copper alloy for Deep Sea Operations Hay:A03NBBW
The leading supplier of Tantalum,Niobium and Tantalum-Niobium Alloys Hay:A01GZXM
Chinese Polyvinyl Fluoride Films (PVF) Manufacturer Delivers First Products to Boeing Hay:C04ZHLT
high-purity nickel and nickel alloy composite materials strip and foil for lithium battery Hay:A05WXDY
Sumitomo Chemical merges GaN (Gallium Nitride) Single Crystal Substrate Manufacturer: Sciox
The leading Carbon Fiber Fabric,Aramid Fiber and UHMWPE Fabric Supplier Hay:D02JSXC
The leading ultra-thin/ultra-thick/ultra-wide artificial graphite high thermal conductivity film Supplier Hay:F04AHTH
Roth Composite Machinery Launches Hydrogen Storage Container Manufacturing Equipment: Duplex Filament Winding Machine: FWA 1 Duplex
Market Analysis of High Pressure Hydrogen Storage Cylinders
The largest manufacturer of high thermal conductivity aluminum nitride substrates and aluminum nitride structural parts Hay:B01ZZAS
China’s micron carbonyl iron powder/ultrafine metal powder manufacturer with over 25% international market share Hay:A05JXYA
Chinese silicon carbide substrate manufacturer successfully grows 8-inch conductivity type SiC (silicon carbide) crystals Hay:I01ZJJS
The Leading Zr-Cu-Ni-Ag-Y Amorphous Alloy Precision Die Casting Supplier Hay:H06DGYA
The Global Leading Automotive Magnesium Alloy Precision Die Casting Supplier of BMW and Mercedes Hay:T02ZJWF
TEAMGROUP Announces MP44L M.2 PCIe 4.0 SSD with conductive graphene coating on aluminum foil to the SSD label
The Global Leading PET Erythrocyte Erythrocyte Sedimentation Tube Supplier Hay:P11SDSW
Chinese electric heating alloy material manufacturer releases high performance electric heating alloy wire Hay:F03SCPG
The Leading Construction Machinery Chassis Parts Supplier of komatsu and Caterpillar Hay:T03AHTS
China’s leading medical and aerospace grade titanium alloy materials manufacturer Hay:A04BJXN
China’s first compressor blade for heavy duty gas turbine black light plant put into operation Hay:T03SCDF
The leading metal seals supplier for automotive engine and refrigeration compressor Hay:U07CCXL
Precision Nickel Alloy Structural Parts Supplier of Amphenol Hay:F04JSYH
The Leading 3D Printing High Thermal Conductivity Tool Steel Material Supplier Hay:H01GDGD
Chinese carbon fiber reinforced silicon carbide matrix composite brake disc manufacturer develops new product for BYD Hay:D05HNJB
China produces oversized high-temperature nickel-matrix alloys using hot isostatic pressing process Hay:A04NJJF
The Leading Double Layer Artificial Dermal Repair Material Supplier Hay:P07SZLD
China Leading Silicon Carbide Ceramic Reinforced Aluminum Matrix Composite Structural Parts Manufacturer Hay:D04HNJT
The Leading High-end Hydraulic System Components Supplier of Sany and Zoomlion Hay:R08WZHT
The Global Leading disposable medical puncture and injection devices Supplier of MSD and B.BRAUN Hay:P11WZBP
The Leading Automotive bearing rings and ultra-precision parts Supplier of Schaeffler, TIMKEN and SKF Hay:T03HBXT
The Global Leading Photomask Supplier:Toppan Photomask reaches partnership with EV Group
High Temperature Corrosion Resistant Alloy Components Supplier of Emerson and Flowserve Hay:A04SHZZ
China Leading 06Ni9DR Steel Plate Supplier for Low Temperature LNG Tank Hay:A02HNXG
Carbon Fiber Composites for Marine Applications Hay:D02WHGW
The Leading CuAl2O3/dispersion strengthened copper alloy supplier Hay:A03NBBW
The Leading ultra thin [0.05mm]nickel foil Supplier Hay:A03GSJC
06Ni9DR steel plate made in China has been successfully applied to the world’s largest LNG cryogenic tank Hay:A02ZXTG
The nano precision surface processing technology:PLNP: Plasma Liquid Nano Polishing Hay:M01TJZW
The Leading Titanium/Nickel/Zirconium/Stainless Steel Composite Plate Supplier Hay:D04SXXB
70% of bulletproof clothing in the world
Copper silver alloy superfine wire [0.016mm diameter] Realized commercial production in China Hay:A03JXJT
Curved graphene realized commercial production in China Hay:H02BJXH
Ultra-Wide Titanium Alloy Plate Supplier Hay: A04HNJT
Ascend released flame-retardant nylon 66Starflame X-Protect and shock absorbing engineering material Vydyne AVS
Apple added 6 mainland Chinese suppliers
Current status of global micro motors supply market
The titanium aluminum alloy low-pressure turbine blade developed in China has won the order of Rolls Royce
The first 16MW platform wind power main shaft bearing is offline Hay:U03HNLY
Global Leading Electrical and Thermal Insulation Materials Supplier of Tesla and Siemens Hay:F04SZGD
Chinese flexible graphite seal manufacturer developed flexible graphite materials for bipolar plates of hydrogen fuel cells Hay:B06ZJGT
China’s second largest hydrogen fuel cell proton exchange membrane proton production base was put into operation Hay:N02WHQN
The supplier of precision porous metal powder metallurgy parts Hay:T05XACJ
China successfully manufactures the world’s [φ 4m] largest Ultraprecision SiC aspheric mirror Hay:F06ZKCC
High-purity germanium and cadmium supplier Hay:A01YNCH
The high performance flat electromagnetic wire supplier for 800V and above high-voltage drive motor Hay:F05CSJB
GE Showcase Metal 3D Print 1 meter Diameter Nickel Alloy 718 Turbine Frame Housing
High end oriented silicon steel made in China has been successfully applied to high-voltage converter transformer Hay:F05BWJT
Cadmium Telluride Crystal Boost Siemens Semiconductor Detector Photon Counting CT Core Technology
Producing super high optical and mechanical properties of photochromic film metamaterials with nano microspheres Hay:F06ZHGY
China’s leading aviation forging manufacturer has built a new production base for special-shaped ring forgings of hard deformation materials Hay:T03GZAD
The Leading SiO2 Aerogel Glass Fiber Mat Composite Material Supplier of CATL Hay:F03JSFY
Chinese UHV Submarine Cable Manufacturer Wins the Bid for European Pentland Firth East Project Hay:F04NBDF
The Leading transparent conductive graphene film supplier Hay:H02NBRT
The ultra-fine high-purity flake zinc powder Supplier of Akzo Nobel and KanSai Hay:A01HNXW
The Leading continuous boron nitride fiber and silicon nitride based ceramic series ceramic wave transmitting materials Supplier Hay:B01SDTC
China’s first FB2 martensitic heat-resistant steel rotor forging for 1000MW ultra supercritical unit successfully passed the acceptance Hay:T03ZGEZ
The Leading hollow mullite microsphere and ceramic hollow microsphere supplier Hay:B03SZDY
The leading superconducting magnets supplier of Philips Hay:F05SHCG
The leading biological nano magnetic beads supplier Hay:H02SZHL
China’s leading manufacturer of rare metal materials participated in the Nuclear Expo Hay:A04SXXB
Schaeffler acquires the leading supplier of ceramic wear parts/ceramic rolling element for bearing:CERASPIN
The leading blue glass infrared cut-off filter and biometric filter supplier Hay:F06HBWF
The leading carbon fiber/aramid fiber blended/mixed fabric and carbon fiber three-dimensional fabric supplier Hay:D01JSAS
The ultra high strength steel plates for offshore engineering achieved commercial production in China Hay:A02SGJT
China’s leading vanadium & titanium material technology company shows new achievements Hay:A04PGJT
Chinese ultra precision optical/lens system manufacturer Hay:F06CCGK
Current Chemicals Launches Mini/Micro LED New Material Technology
Chinese optical mask manufacturer achieve commercial production of mask for 250nm semiconductor chips Hay:I03SZQY
The Leading Ultraviolet high power polarization beam splitter prism supplier for High power laser optics Hay:F06HNDS
China’s tungsten copper alloy supplier provides ITER with tungsten copper composite parts Hay:D04BJAT
The Leading High Shielding Efficiency Electromagnetic Shielding Film Supplier For Huawei 5G Equipment Hay:F05SDJT
China’s C919 aircraft uses a large number of advanced and high-performance materials
The Leading ultra-thin [0.07mm thick] low-carbon steel foil supplier for 5G base station equipment Hay:A02BJSG
China’s leading supplier of nano cemented carbide precision microporous /Φ 0.01mm hole products Hay:A04ZZXW
The Spark Plasma Sintering[SPS] Technology Leader Hay:F03AHSX
The Leading Fiber Ring and Polarization Maintaining Fiber Supplier Hay:F06WHCY
Global leading boron nitride ceramic fiber supplier Hay:F03SDGT
Ultra precision machining of optical quartz glass components and lithography sucker Hay:T09SHJZ
The Vascular Prosthesis and Vascular Graft was approved by NMPA for marketing Hay:P02JSBY
Creep-resistant aluminum alloy core power cable developed by China’s leading submarine cable manufacturer won the prize Hay:F04JSZT
Exclusive battery cooling flat tube supplier for German BMW electric vehicle platform Hay:Q01ZJSH
China’s high thermal conductivity pitch-based carbon fiber manufacturer develops carbon fiber felt electrode for all-vanadium liquid flow battery Hay:D01DLXD
Chinese lithium battery copper foil suppliers achieve 3.5 μ Commercialized production of ultra-thin copper foil Hay:A03HBZY
The Leading 900 MPa high-strength welding wire supplier Hay:F01SDSL
The 70MPa hydrogen cylinder valve components have passed E-Mark certification Hay:N04SHWS
Obtained the registration certificate of China’s first de-leucocyte platelet storage bag Hay:P10SDWG
The high strength self-locking nut supplier of aeroengine Hay:U07ZZHJ
Teijin develops the thinnest level gas diffusion layer using ultrafine carbon/aramid fibers
The Leading Carbon Fiber Reinforced Paper Based Friction Plate Supplier Hay:U02SXHP
China’s leading LCP film manufacturer provides LCP/Liquid Crystal Polymer capsules for high-end earphone manufacturers Hay:F02SHYW
The leading precision shaft supplier of NIDEC and Valeo Hay:T09ZJFD
The only production base of strong acid/alkali resistant and organic solvent resistant filter membranes in China was put into production Hay:F07XMJR
Carbon fiber thermoplastic composites for automotive industry
China leading SiC particle reinforced aluminum matrix composite brake disc supplier realized commercial production Hay:D04HNJT
Metalenz and STMicroelectronics delivery world’s first optical metasurface lens
The high frequency soft magnetic materials supplier for third generation semiconductors Hay:F05BJAT
Silicon dioxide used for battery PE separator has obtained certification from Daramic/Celegard Hay:B04GDJS
The Leading AuSn Pre-Deposited AlN Ceramic Substrates and AuSn Pre-Deposited CuW Substrate Supplier Hay:I04XAJG
China’s leading carbonyl iron powder supplier expands the production capacity of high-strength alloy metal powder materials Hay:A05JXYA
China’s high-end ultra-thin 0.08mm oriented silicon steel strip and iron core realized commercial production Hay:F05SHBG
The Precious Metal Conductive Paste and Ruthenium Based Resistance Paste Supplier Hay:I02ZJYH
The Leading Gold Bond Wire, Silver Alloy Bond Wire and Copper Bond Wire Supplier Hay:I04SZZB
The Leading High Pressure Hydrogen Cylinder Valve Supplier Hay:U05SZQP
The leading titanium anode supplier of copper foil Hay:F04XATJ
High precision hot-rolled F-shaped steel maglev track panel officially put into production Hay:A02SGJT
The Global Leader in Metallized Ceramics & Engineered Technical Ceramic Hay:B01XMYN
The Leading 6-inch SAW Level Lithium Tantalate Wafer and Lithium Niobate Wafer Supplier Hay:B06ZJTT
The Leading Corrosion-Resistant Bimetallic Composite Pipe Supplier Hay:D04GDZJ
High-purity nickel (5N) and high-purity cobalt (5N) supplier of SMIC Hay:A01NBCR
The ultra-thin precision flexible film packaging substrate production base has been put into operation Hay:I04ZJJY
The leading Colorless Polyimide/CPI Films and slurry supplier Hay:C04NBBY
China’s leading supplier of corrosion-resistant oil and gas Casing and Tubing (OCTG Pipe) Hay:A02HNHY
The Leading Ultra-high strength silicon carbide/SiC particle reinforced aluminum alloy composite material Supplier Hay:D04NBHS
xMEMS Launch The World’s First Solid-State Fidelity Semiconductor MEMS Speaker
Cabot Corporation Launches ENTERA™ Aerogel Particles for Use in Thermal Barriers for Lithium-ion Batteries
The leading PC/PMMA co-extruded film/optical grade composite plate supplierHay:C04ZJDM
The leading high-purity gallium and high-purity indium supplier of BASF Hay:A01HNKN
The leading Martensite heat resistant steel supplier for 630 ℃ ultra supercritical unit Hay:A04BWTY
The leading cemented carbide/tungsten carbide precision components and parts supplier Hay:A04HNXD
The leading As fired Si3N4 substrate and aluminum nitride ceramic substrate supplier Hay:I04NXAS
The nickel matrix alloy bimetallic composite pipes successfully applied to ultra-high sulfur gas fields Hay:D04XAXY
The top titanium/steel clad composite plate supplier of GE and Shell Hay:D04XATL
Breakthrough in the Development of High Temperature ReBCO Superconducting Cables in China Hay:H07WXTL
The structurally complex magnesium alloy parts supplier of framework laptop Hay:T02HNLS
The leading supplier of lightweight and high-strength sandwich structure metal composite materials Hay:D04SDBH
The Global Top Overflow Brick Supplier of Corning Hay:B03ZBGT
The leading biological based cell use casing supplier Hay:F08SDWS
The leading barbed thread supplier Hay:P10NTHE
The world’s largest diameter [Dia: 3 meters] ductile iron pipe Hay:T02HBXX
Evonik expands production capacity for SEPURAN® Hollow Polyimide Fiber Membranes
The leading Dia 0.1mm ultrafine zirconia grinding microbeads supplier Hay:B01ZZCR
Denso and Mitsubishi Electric invest in Coherent’s silicon carbide business
Chinese companies provide aviation grade titanium alloy frame for iPhone 15 Pro Hay:H01XABL
The leading PTFE microporous waterproof and breathable membrane supplier of Valeo and Marelli Hay:C06SZFC
The leading ultra-thin High-temperature alloy hot-rolled flat steel supplier for springs Hay:A02PGTG
The leading Cubic Boron Nitride (CBN) Single Crystal and Micropowder Supplier Hay:B01ZZJT
Evonik introduces VESTAKEEP carbon-fiber reinforced PEEK filament for 3D printed medical implants
China’s ultra-fine particle silver metal oxide electrical contact material has achieved import substitution Hay:F04FDHJ
The leading nano-patterned sapphire substrate (NPSS) supplier Hay:B06SDYX
The leading ultra precision metal components and parts supplier of Thermo Fisher and Carestream Hay:T09SHAW
Chinese carbon fiber transmission shafts and carbon fiber electric drive rotor spindles manufacturer has received investment Hay:D02SHHY
The ultra-thin 0.06mm IXPE/IXPP supplier of Apple Hay:C05HBXY
The Leading Silicon Carbide/SiC Precision Ceramic Impeller Supplier Hay:B01HBHY
The Transformer Oil Bladder/Flexible Pillow Tank Supplier of ABB and SIEMENS Hay:F04NTSR
The leading Nickel Titanium Shape Memory Alloy thin-walled pipes supplier Hay:P01YYBJ
Diamond Foundry develops single-crystal diamond wafers to solve the thermal challenges
China’s foam nickel manufacturer successfully developed foam metal for hydrogen production Hay:A05HNKL
TOYO TANSO Expands SiC Coated Graphite PERMA-KOTE™ Production Capacity
The leading Alkaline electrolysis composite diaphragm supplier Hay:N02BJYT
The single crystal diamond heat sink realized commercial production Hay:B06BJWE
The Intermediate frequency drum charging cable supplier broke the monopoly of CAVOTEC Hay:F04TBDY
The leading Nano-Multilayer Optical BOPET film and nano thermal insulation material supplier Hay:C04SHCD
The super-strong carbon fiber reinforcement plastic supplier Hay:C03JSFD
Global leading amorphous metal parts and pure bio-degradable magnesium supplier Hay:H06DGYA
Global leading Nano crystalline soft magnetic alloy supplier Hay:F05SHLP
Global leading tungsten and molybdenum supplier Hay:A04ZZYZ
Thermostat bimetal supplier of Siemens and Schneider Hay:F04WZHF
Amorphous and nanocrystalline alloy supplier Hay:F05ZKNB
Global leading complex-shaped MIM and CIM parts supplier Hay:T05SHFC
Thermal conductivity magnesium alloy components supplier Hay:A03WHWF
The global leading microcrystalline zirconium sheet manufacturer Hay:B01CZSH
The leading high-purity beryllium supplier Hay:B01WKPY
China leading bimetal self-lubricating bearings manufacturer and supplier Hay:U03ZJCS
Ultra-pure complex thin-wall superalloy structural parts supplier Hay:A04JSTN
The leading high-temperature alloys supplier Hay:A02SHBG
Ultra-thin wall die casting parts and components suplier Hay:T02FJJR
Precision Die Casting Engine & Transmission Parts manufacturer Hay:T02CQYJ
The tungsten clad copper composite developed for US SpaceX project D04JSDQ
The aluminum alloy die-casting parts supplier of Tesla Hay:T02GDWC
The molybdenum alloy plate and wire supplier Hay:A01JDMY
China leading Aluminum-Lithium Alloy Manufactuer Hay:A03ZZQY
The leading silicon carbide fiber thermal insulation felt supplier Hay:F03HNZR
供应商管理与供应商战略关系管理培训课程:战略供应商关系管理SRM可以帮助企业获得竞争优势
Hay Think精益供应链规划Lean Supply Chain Planning[LSCP]
Top Outsourcing & Sourcing Service Companies/ Sourcing Agents in China
安迪·格鲁夫/Andrew S. Grove的六力分析模型
Seven Supply Chain Trends 2018
波士顿经验曲线(BCG Experience Curve)
变革五因素模型
奶头乐理论(Titty Tainment)
柔道战略理论
恋爱经济学[又称爱情经济学]
知识营销(Knowledge Marketing)
4Rs营销理论(The Marketing Theory of 4Rs)
色彩营销(Color Marketing)
一对一营销 (One-to-One Marketing)
战略营销联盟 (Strategic Marketing Union)
数据库营销(Database Marketing)
宽容管理 (Allowance Management)
变革管理 (Change Management)
Korn Ferry光辉国际的Lominger罗明格67项能力素质胜任力辞库
物流管理 (Logistics Management/Physical Distribution ) (Physical Distribution为传统意义上的物流)
预算管理(Budget Management)
5S管理法 (5S :Seiri、Seiten、Seigo、Seiketsu、Shitsuke)
OEC管理法 (Over All Every Control and Clear)
产品数据管理 (Product Data Management, PDM)
蚂蚁式管理 (Style of Ant Management)
戴明的质量管理 (William Edwards Dem’s Quality Management)
参加了培训,如何进行培训效果评估,专家告诉你从4个方面来进行
A.T.Kearney Views On The Elements of Successful Supplier Relationship Management SRM
国际知名供应链管理咨询公司谈:如何利用供应链提升长期价值
[Continental AG] Purchasing Manager on Target Cost Management and Functional Cost Analysis in Project Procurement Management FCA
第三方专业采购审计机构对采购部门流程审计是最有效的审计
战略采购管理咨询服务:民营企业采购管理流程审计思路
战略采购谈判培训课程:与供应商进行明智采购谈判的三个要点
蒂森克虏伯Thyssenkrupp采购经理:采购战略谈判技巧:双赢采购谈判的秘密
丰田精益生产系统TPS专家谈精益战略:我该如何开始?
SONY索尼采购经理谈采购风险管理 Procurement Risk Management
项目采购管理培训Project Procurement Management
采购风险管理及如何降低采购风险 Management Procurement Risks & How to Mitigate Them
什么是审计线索What is an Audit Trail?
确保供应商合规性的6个步骤 6 Steps to Ensure Vendor Compliance
采购流程优化 What is a Streamlined Procurement Process Flow?
采购工程师培训课程:采购降本战略之按需创新 Invention on demand_A8/64
高级采购工程师培训机构 采购降本战略之采购外包 Procurement outsourcing A3/64
采购合同管理培训 之明智交易模式 Intelligent deal structure B6/64
采购管理培训课程之 采购合同管理 Contract management B1/64
采购成本分析管理技巧工具 成本数据发掘分析 Cost data mining C4/64
采购战略管理培训之 跨单位需求量捆绑采购 Bundling across sites D1/64
采购管理咨询与培训机构 产品加工制程流程对标 Process benchmark D6/64
高级采购工程师培训课程 信息征询函/询价函流程 RFI/RFP/RfQ process E4/64
供应链战略管理咨询与培训课程 供应商管理库存 VMI Vendor-managed inventory F5/64
采购战略管理 价值链重构 Value chain reconfiguration E8/64
采购成本管理培训之 成本回归分析 Cost-regression analysis G2/64
采购管理培训班 基于项目的合作伙伴 Project-based partnership G7/64
采购战略开发管理培训之 利用市场失衡 Leverage market imbalances H4/64
民营企业采购培训课程:本土企业/民营企业的采购管理水平的提升之路
采购数据分析管理培训:采购管理领域的采购数据分析工具
战略采购组织的变革与战略转型:为什么采购管理及组织在2020年后必须要进行变革 1/5
战略采购管理咨询机构/公司 采购组织转型与采购的未来
Strategic Purchasing Management Consulting and Training Agency Promotes Excellent Value through Digital Procurement 1/2
采购战略培训课程 更好的投标模式能够实现更大的采购支出节约/节省
Global top purchasing management consulting company views: improving procurement transparency is a double-edged sword 2/4
国际采购管理咨询顾问服务公司 企业并购行为中的采购驱动的协同效应:雷区还是金矿?
Indirect / Non-Productive Material Procurement Management: Beyond Purchasing Reform of Retail Indirect Procurement Expenditure
高级采购管理培训课程与采购咨询顾问服务:采购的力量 精益采购管理为采购创造了新的价值
采购战略管理培训课程 之管理采购支出/采购支出管理 1/4
供应商管理培训课程与机构 积极实施战略供应商管理 2/5
采购总监/首席采购官CPO如何在入职后3个月内取得成功
国际著名战略采购管理咨询顾问机构:战略采购与品类采购管理的卓越绩效
MESO创造价值的谈判策略:在业务谈判中进行多个等价同时报价的好处Multiple Equivalent Simultaneous Offers
Key Steps to Improve Your Strategic Sourcing & Procurement Process
It usually takes 24 years to become CEO. Here’s why Risk Takers get there faster
Procurement Compliance Audit Checklists
Strategic Sourcing & Procurement Excellence Performance Monitor
Rebalancing Our Sourcing & Procurement Strategy
Recipe for success for sourcing in the food industry
Purchasing Bottleneck Management In Procurement Strategies: Change Nature Demand A5
Design For Sourcing In Procurement Strategies: Change Nature Demand B7-Kearney
Closed-Loop Spend Management In Procurement Strategies: Manage Spend B2-Kearney
Cost Data Mining In Procurement Strategies: Manage Spend C4-Kearney
Bundling Across Sites In Procurement Strategies: Manage Spend D1-Kearney
Process Benchmark In Procurement Strategies: Change Nature Demand D6-Kearney
Supplier Market Intelligence In Procurement Strategies: Leverage competition among suppliers E3-Kearney
Vendor-Managed Inventory (VMI) In Procurement Strategies: Seek joint advantage with supplier F5-Kearney
Value Chain Reconfiguration In Procurement Strategies: Seek joint advantage with supplier E8-Kearney
Cost-Regression Analysis In Procurement Strategies: Leverage competition among suppliers G2-Kearney
Project-based Partnership In Procurement Strategies: Seek joint advantage with supplier G7-Kearney
Leverage Market Imbalances In Procurement Strategies: Leverage competition among suppliers H4-Kearney
Procurement Strategies:16 Levers
Please check the message before sending
Please enable JavaScript in your browser to complete this form.
Name
Email
*
Message
*
Send